рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Example 1

Example 1 - Лекция, раздел Образование, Лекция №8 5-тый курс Тема: Структурная методология описания проектов цифровых систем устройств посредством оператора configuration Entity Inverter Is Generic (Proptime : Time :=...

entity INVERTER is generic (PropTime : TIME := 5 ns); port ( IN1 : in BIT; OUT1 : out BIT); end INVERTER; architecture STRUCT_I of INVERTER is begin OUT1 <= not IN1 after PropTime; end STRUCT_I; entity TEST_INV is end TEST_INV; architecture STRUCT_T of TEST_INV is signal S1, S2 : BIT := '1'; -- INV_COMP component declaration: component INV_COMP is -–объявление компонента generic (TimeH : TIME); port ( IN_A : in BIT; OUT_A : out BIT ); end component; for LH : INV_COMP -- спецификация конфигурации (specification configuration) связывающая компонент с родительским проектом, т.е. с описанием компонента use entity INVERTER (STRUCT_I) -- indicates generic and port aspects: generic map (PropTime => TimeH) port map (IN1 => IN_A, OUT1 => OUT_A); begin -- instantiation of INV_COMP component (внедрение компонента): LH : INV_COMP generic map (10 ns) port map (S1, S2); end STRUCT_T;

 

Architecture STRUCT_T entity TEST_INV использует component INV_COMP. Прикрепление component к entity INVERTER и архитектуры (architecture) STRUCT_I реализовано спецификацией configuration, которая появляется в декларативной части тела architecture.

 

Important notes:

· Конфигурация назначает одну и только одну структуру тела архитектуры к данному объекту.

· Инструментальные средства Синтеза вообще не поддерживают конфигурации.

· Для конфигурации некоторого проекта, оба – объект (entity) и конфигурация configuration имя конфигурации of имя проекта is должны быть объявлены в той же самой библиотеке.

 

4. Примеры использование оператора конфигурации (configuration …is…) при создании структурных проектов с применением компонентного стиля проектирования

При построении иерархических VHDL проектов часто проходится уточнять какой именно вспомогательный VHDL проект будет использоваться в качестве компонента в головном проекте. Причем, часто требуется уточнять не только имя (entity) вспомогательного проекта, а также имя архитектуры, связанной в текущий момент с именем вспомогательного проекта. Это обстоятельство возникает по той причине, что в языке VHDL одному имени entity проекта могут соответствовать несколько архитектур, Каждая из которых содержит свой вариант описания работы проектируемого цифрового устройства. Эта задача решается при помощи оператора конфигурации. Этот оператор имеет сложное конструктивное устройство.

Таким образом, Оператор конфигурации (configuration …is) дает возможность уточнить, какой реально существующий вспомогательный проект будет внедряться в качестве компонента в головной проект. Наличие оператора конфигурации расширяет возможность маневра при разработке проектов. Дело в том, что интерфейсы используемых для внедрения разных компонентов могут быть одинаковыми, т.е. могут совпадать с интерфейсами многих других проектов, причем работа каждого отдельного проекта может также описываться разными архитектурами. В то же время один и тот же проект VHDL может иметь несколько архитектур. Поэтому оператор конфигурации дает возможность уточнить какой конкретно проект вы желаете использовать в качестве компонента, и какая архитектура должна ему соответствовать в текущем головном проекте.

 

Итак, пусть требуется разработать VHDL проект цифрового устройства, содержащего некоторые компоненты (см. рис. 5.5). Причем, при создании VHDL проекта требуется уточнить, какие именно компоненты (подпроекты) и с какими архитектурами будут использованы при построении цифрового устройства. (Обратите внимание, что все компоненты, содержащиеся в структурной схеме рис. 5.5, имеют одинаковые интерфейсы.)

 

 

Рис. 5.5

 

 

– Конец работы –

Эта тема принадлежит разделу:

Лекция №8 5-тый курс Тема: Структурная методология описания проектов цифровых систем устройств посредством оператора configuration

Лекция тый курс... Тема Структурная методология описания проектов цифровых систем устройств посредством оператора configuration...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Example 1

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Configuration configuration_name of entity_nameis
-- configuration declarations (подраздел деклараций) forarchitecture_name --начало конфигурирующего блока for instance_label:component_name -- оп

Спецификация конфигурации - Configuration Specification
Проект конфигурации (конфигурирующий проект) – это конструкция, которая определяет, какие образцы (варианты) компонента в создаваемом иерархическом проекте привязаны к нему и его а

For instance_label:component_name use configuration
library_name.config_name; Каждый внедряемый component связан с некоторым проектом (с парой entity/architecture) и эта ассоциация {связь} определена спецификацией конфигурации (specifica

Configuration conf_gat_c of conf_gat is
for conf_gat_a for U1: and_e use entity work.and_e (and_a); end for;   for

Вспомогательный проект entity nand_e
  entity nand_e is -- определение компонента nand_e port (x1, x2 : in bit; y : out bit); end nand_e ; architecture nand_a of nand_e is

Описание компонента
entity XOR_GATE_4 is port(IN1,IN2: in BIT_VECTOR(0 to 3); OUT1 : out BIT_VECTOR(0 to 3));

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги