Описание компонента

entity XOR_GATE_4 is port(IN1,IN2: in BIT_VECTOR(0 to 3); OUT1 : out BIT_VECTOR(0 to 3)); end entity XOR_GATE_4; architecture XOR_BODY_4 of XOR_GATE_4 is begin OUT1 <= IN1 xor IN2 after 5 ns; end architecture XOR_BODY_4; Головной проект с внедренным компонентом по прямому способу entity EXAMPLE is end entity EXAMPLE; architecture STRUCTURE_1 of EXAMPLE is signal S1,S2 : BIT_VECTOR(0 to 3); signal S3 : BIT_VECTOR(0 to 3); begin X1 : entity WORK.XOR_GATE_4(XOR_BODY_4) port map (S1,S2,S3); end architecture STRUCTURE_1;Рис.П1

 

На Рис.П2 показана суть метода прямого внедрения компонента на физическом уровне

 

 

Рис.П2 Метод прямого внедрения компонента на физическом уровне