Створення компоненту

 

3.8.2.1 Натисніть кнопку View Design Browser . З'явиться вікно Design Browser, що наведено на рис. 3.4 зліва. Виберіть команду Add new file (додати новий файл) у вікні Design Browser. З'явиться вікно Add New File (на рис. 3.4 праворуч). У вікні Add New File виберіть вкладку Wizards і клацніть на піктограмі Block Diagram Wizard.

 

 

Рисунок 3.4 – Вікна Design Browser і Add New File

 

3.8.2.2 З'явиться вікно New Source File Wizard (майстер нового файлу програми). Під час роботи з майстром New Source File Wizard залиште активним прапорець "Add the generated file to the design" (додати файл програми до проекту), виберіть мову опису апаратури VHDL, вкажіть ім'я файлу програми (source file), ім'я сутності (entity), ім'я опису архітектури (architecture body). Виконавши необхідні настройки, для переходу до наступного кроку роботи майстра користуйтесь кнопкою Next. Після трьох натискань цієї кнопки з'явиться вікно New Source File Wizard – Ports (рис. 3.5).

 

 

Рисунок 3.5 – Настройка портів сутності SUM

 

3.8.2.3 Додайте до створюваної сутності порти: входи (in) A, B, C і виходи (out) S, P. Тип даних, що використовується за замовчуванням – STD_LOGIC (багатозначна промислова логіка у відповідності до стандарту IEEE 1164). Зовнішній вигляд вікна New Source File Wizard – Ports наведений на рис. 3.5.

3.8.2.4 Наприкінці роботи з майстром New Source File Wizard натисніть кнопку Done. Буде автоматично створений файл логічної схеми повного суматора sum.bde і з'явиться вікно редактора логічних схем САПР Active-HDL (рис. 3.6).

 

 

Рисунок 3.6 – Редактор логічних схем

 

3.8.2.5 Використовуючи інструменти: Fub (блок) і Wire (дріт) , добудуйте логічну схему повного суматора (рис. 3.7).

 

 

Рисунок 3.7 – Логічна схема повного суматора

 

3.8.2.6 Вибравши команду головного меню File ® Save All, збережіть всі зміни у VHDL-проекті.

3.8.2.7 Клацніть правою кнопкою миші на блоці Fub1 (прямокутник блакитного кольору логічної схеми). В контекстнім меню виберіть команду Push. З'явиться вікно Create New Implementation (створити нову виконавчу частину сутності). Виберіть режим VHDL Source Code. Буде автоматично створений файл, який містить шаблон VHDL-програми, що відповідає структурі блоку Fub1. У нашому випадку це файл Fub1.vhd. Файл Fub1.vhd автоматично завантажується у текстовий редактор САПР Active-HDL (рис. 3.8).

 

 

Рисунок 3.8 – Текстовий редактор

 

3.8.2.8 Внесіть зміни у виконавчій частині VHDL- програми (листинг 3.1).

Листинг 3.1 – Повний суматор

 

library IEEE;

use IEEE.STD_LOGIC_1164.all;

-- Декларативна частина

entity Fub1 is

port(

A,B,C : in STD_LOGIC;

S,P : out STD_LOGIC

);

end Fub1;

 

-- Виконавча частина

architecture Fub1 of Fub1 is