рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Построение простейшего RISC-процессора.

Построение простейшего RISC-процессора. - раздел Компьютеры, В настоящее время существуют два типа архитектуры микропроцессорных систем – Принстонская, или архитектура фон-Неймана и Гарвардская Цель Работы.На Основе Созданных В Предыдущих Работах Блоков ...

Цель работы.На основе созданных в предыдущих работах блоков собрать модель простейшего RISC-процессора и проверить его работоспособность.

Постановка задачи.У нас созданы основные модули общего проекта. Теперь необходимо аккуратно собрать их в единое целое, произвести верификацию полученного проекта (построить временные диаграммы) и, если нас удовлетворяет отчет симулятора, реализовать полученное устройство в кристалле Cyclone EP1C6T144C8 на лабораторном макете. При этом нам необходимо вывести содержимое регистров R1, R2 и R3 на имеющуюся в макете индикацию, синхроимпульсы получать с кнопки, а сигнал разрешения подавать с тумблера.

– Конец работы –

Эта тема принадлежит разделу:

В настоящее время существуют два типа архитектуры микропроцессорных систем – Принстонская, или архитектура фон-Неймана и Гарвардская

Введение... В настоящее время существуют два типа архитектуры микропроцессорных систем... В г американский математик Джон фон Нейман сформулировал основные принципы работы современных компьютеров Им...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Построение простейшего RISC-процессора.

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Формирование памяти инструкций.
  Цель работы. Получение представления о формировании потока инструкций в RISC-процессоре. Ознакомление с программированием на VHDL. Постановка задач

Прогр.1.1
library ieee; use ieee.std_logic_1164.all;   entity raminst is port( adr_i: in bit_vector(3 downto 1); data_out: out bit_vector(15 downto

Прогр.1.2
library ieee; use ieee.std_logic_1164.all;   entity reg8 is port( data_i: in std_logic_vector(7 downto 0); clk_i: in std_logic; e

Формирование устройства управления.
Цель работы. Получение представления о дешифрации потока инструкций в RISC-процессоре. Постановка задачи. Необходимо построить устройство, посылающее сигн

Прогр.2.1
library ieee; use ieee.std_logic_1164.all; entity dec is port( adr_i: in bit_vector(2 downto 0); data_out: out bit_vector(7 downto 0) );

Прогр.2.2
library ieee; use ieee.std_logic_1164.all; entity dec1 is port( adr_i: in bit_vector(1 downto 0); en: in bit; data_out: out bit_vector(3 downto

Формирование блока регистров.
Цель работы. Получение представления о временном хранении данных в RISC-процессоре. Постановка задачи.Исполнительное устройство нашего процессора содержит

Формирование блока арифметико-логического устройства (АЛУ).
Цель работы. Получение представления о проведении арифметических операций в RISC-процессоре. Постановка задачи.Последний из синтезируемых блоков – АЛУ. В

Прогр.4.3
library ieee; use ieee.std_logic_1164.all;   port(a,b:in bit_vector(7 downto 0); entity add8 is s:out bit_vector(7 downto 0); c : out bit)

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги