рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Формирование блока арифметико-логического устройства (АЛУ).

Формирование блока арифметико-логического устройства (АЛУ). - раздел Компьютеры, В настоящее время существуют два типа архитектуры микропроцессорных систем – Принстонская, или архитектура фон-Неймана и Гарвардская Цель Работы. Получение Представления О Проведении Арифметиче...

Цель работы. Получение представления о проведении арифметических операций в RISC-процессоре.

Постановка задачи.Последний из синтезируемых блоков – АЛУ. В нашем случае АЛУ состоит только из 8-разрядного сумматора. Программа, описывающая такой сумматор – прогр.4.3. Она строится на основе прогр.4.1, описывающей одноразрядный сумматор без входа переноса для младшего разряда и прогр.4.2, описывающей одноразрядный сумматор имеющий вход переноса для построения всех остальных разрядов. На входы сумматора могут поступать данные с выходов регистров соответствующего блока. Для выбора нужных операндов строим два блока из восьми мультиплексоров на четыре направления каждый. Для хранения бита переноса нужен триггер. Схема блока АЛУ представлена на рис.4.1.

– Конец работы –

Эта тема принадлежит разделу:

В настоящее время существуют два типа архитектуры микропроцессорных систем – Принстонская, или архитектура фон-Неймана и Гарвардская

Введение... В настоящее время существуют два типа архитектуры микропроцессорных систем... В г американский математик Джон фон Нейман сформулировал основные принципы работы современных компьютеров Им...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Формирование блока арифметико-логического устройства (АЛУ).

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Формирование памяти инструкций.
  Цель работы. Получение представления о формировании потока инструкций в RISC-процессоре. Ознакомление с программированием на VHDL. Постановка задач

Прогр.1.1
library ieee; use ieee.std_logic_1164.all;   entity raminst is port( adr_i: in bit_vector(3 downto 1); data_out: out bit_vector(15 downto

Прогр.1.2
library ieee; use ieee.std_logic_1164.all;   entity reg8 is port( data_i: in std_logic_vector(7 downto 0); clk_i: in std_logic; e

Формирование устройства управления.
Цель работы. Получение представления о дешифрации потока инструкций в RISC-процессоре. Постановка задачи. Необходимо построить устройство, посылающее сигн

Прогр.2.1
library ieee; use ieee.std_logic_1164.all; entity dec is port( adr_i: in bit_vector(2 downto 0); data_out: out bit_vector(7 downto 0) );

Прогр.2.2
library ieee; use ieee.std_logic_1164.all; entity dec1 is port( adr_i: in bit_vector(1 downto 0); en: in bit; data_out: out bit_vector(3 downto

Формирование блока регистров.
Цель работы. Получение представления о временном хранении данных в RISC-процессоре. Постановка задачи.Исполнительное устройство нашего процессора содержит

Прогр.4.3
library ieee; use ieee.std_logic_1164.all;   port(a,b:in bit_vector(7 downto 0); entity add8 is s:out bit_vector(7 downto 0); c : out bit)

Построение простейшего RISC-процессора.
Цель работы.На основе созданных в предыдущих работах блоков собрать модель простейшего RISC-процессора и проверить его работоспособность. Постановка задачи.

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги