рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Использование жесткой и программируемой логики

Использование жесткой и программируемой логики - раздел Высокие технологии, Однокристальные микроконтроллеры ОМК позволяют существенно расширить интеллектуальные возможности различного рода устройств и систем   Существует Два Принципиально Разных Подхода К Проектированию ...

 

Существует два принципиально разных подхода к проектированию цифровых устройств: использование принципа схемной логики или использование принципа программируемой логики.

В первом случае в процессе проектирования подбирается некоторый набор цифровых микросхем (обычно малой и средней степени интеграции) и определяется такая схема соединения их выводов, которая обеспечивает требуемое функционирование (т.е. функционирование устройства определяется тем, какие выбраны микросхемы и по какой схеме выполнено соединение их выводов). Устройства, построенные на таком принципе системной логики, способны обеспечивать наивысшее быстродействие при заданном типе технологии элементов. Недостаток этого принципа построения МКУ состоит в невозможности "перестройки" структуры устройств и систем при необходимости изменения или расширения их функциональных возможностей.

Эти обстоятельства заставляют обратиться к другому подходу в проектировании цифровых устройств, основанному на использовании принципа программируемой логики. Этот подход предполагает построение с использованием одной или нескольких БИС некоторого универсального устройства, в котором требуемое функционирование (т.е. их специализация) обеспечивается занесением в память устройства определенной программы (или микропрограммы). В зависимости от введенной программы такое универсальное управляющее устройство способно обеспечивать требуемое управление операционным устройством при решении самых различных задач. В этом случае число типов БИС, необходимых для построения управляющего устройства, небольшое, а потребность в БИС каждого типа высока. Это обеспечивает целесообразность их выпуска промышленностью.

Следует иметь ввиду, что наивысшее быстродействие достигается в процессорах, в которых управляющее устройство строится с использованием системной логики, а операционное устройство выполняется в виде устройства, специализированного для решения конкретной задачи.

Если в устройстве, построенном на принципе системной логики, всякое изменение или расширение выполняемых функций влечет за собой демонтаж устройства и монтаж устройства по новой схеме, то в случае МКУ благодаря использованию принципа программируемой логики такое изменение достигается заменой хранящегося в памяти программы новой программой, соответсвующей новым выполняемым устройством функциям. Такая гибкость применений вместе с другими связанными с использованием БИС достоинствами (низкой стоимостью, малыми габаритами), а также высокая точность помехозащищенность, характерные для цифровых методов, обусловили бурное внедрение МКУ в различные сферы производства, научные исследования и бытовую технику.

Микроконтроллерные и микропроцессорные устройства в свою очередь обеспечили широкое использование цифровых методов в различных технических применениях, и размах внедрения этих новых методов рассматривается как революция в технике.

 

1.4. Дуализм "программные средства - аппаратура"

 

Проектирование МКС производится на базе определенных ОМК. Разработчикам аппаратуры на основе ОМК недоступен уровень отдель-ных транзисторов, связей между ними. Микроконтроллер воспринимается как нечто цельное, имеющее различные свойства, заложенные в его архитектуре.

Архитектура микроконтроллера - это его логическая организация, определяемая возможностями МК по аппаратурной или программной реализации функций, возлагаемых на проектируемые МКУ. Архитектура отражает структуру МК, способы представления и форматы данных, набор операций, форматы управляющих слов, способы обращения ко всем доступным для пользователя элементам структуры, реакцию МК на внешние сигналы.

При разработке МКС необходимо определить, какая часть функций при создании конкретных МКС должна быть реализована программным способом, а какая - с помощью дополнительных аппаратных средств. Поэтому при проектировании МКС необходимо:

1) дать описание концептуальной модели функционального поведения МКС и рекомендации по организации вычислительного процесса в микроконтроллерной аппаратуре;

2) определить структуру и особенности построения программных средств;

3) описать характеристики внутренней организации потоков данных и управляющей информации;

4) провести анализ функциональной структуры и особенности физической реализации устройств МКС с позиций сбалансированности программных и аппаратных средств.

При разработке архитектуры МКУ определяют форматы данных, обосновывают требования к интерфейсам. Правильный выбор архитек-туры дает возможность оптимизировать вычислительный процесс реа-лизации алгоритмов функционирования МКУ на выбранных аппаратурных средствах. В МКУ процесс оптимизации начинается с решения компро-миса "программные средства - аппаратура", который заключается в том, что в МКУ любое функциональное преобразование может быть осуществлено как программным путём, так и на аппаратных средствах. При этом для реализации любых программных средств необходима аппаратурная поддержка и, наоборот, ни одно из аппаратных средств МКУ не может функционировать без программного обеспечения.

 

1.5. Основные характеристики и классификация однокристальных микроконтроллеров

 

Микроконтроллер является сложным программно-управляемым цифровым устройством в микроэлектронном исполнении, выполненным в виде интегральной микросхемы большой степени интеграции. Поэтому он описывается множеством параметров, присущих как электронным приборам (быстродействие, потребляемая мощность, габариты и масса, количество уровней питания, надежность, стоимость, тип корпуса, температурный диапазон и др.), так и вычислительным средствам (разрядность, цикл выполнения команд, число внутренних регистров, тип стековой памяти, состав резидентного и программного обеспечения и т.д.). Микроконтроллеры классифицируют по наиболее существенным из перечисленных характеристик с целью выбора эффективной сферы применения.

Однокристальные микроконтроллеры получаются при реализации всех аппаратных средств контроллера в виде одной БИС или СБИС. Все выполняемые им операции определяются набором команд МК. В состав однокристального МК входят: арифметико-логическое устройство (АЛУ), ПЗУ (ППЗУ), ОЗУ, блок регистров общего назначения (БРОН), специальные регистры, схемы управления, магистрали данных (МД), адресов (МА) и управления (МУ), порты ввода-вывода, таймеры-счетчики и другие функциональные узлы.

На сегодняшний день наибольшее распространение получили однокристальные микроконтроллеры, приведенные в табл. 1.2.

 

Таблица 1.2.

Типы однокристальных МК

Семейство МК Фирма - производитель Архитектура процессорного ядра Розрядн. данных (біт) Частота синхронизации (МГц)
ST 62 М3820 SGT-Thomson Mitsubishi Electric CISC CISC
MCS-51 K1816 BE51 AT 89 Intel Atmel CISC CISC CISC 12/16/24/33/40 40
MCS-251 Intel CISC 16
AVR 90 Atmel RISC
KP1878 BE1/2 Ангстрем RISC
Z86CXX Zilog CISC
COP800 National CISC
MC68 HC05/08/11 Motorola CISC 4/ 12/ 32
M68HC16 Motorola CISC
MCS-196/296 Intel CISC 16/50
M683xx Motorola CISC
HT 4811/49100 Holtek RISC
PIC 12/16/17/18 Microchip RISC 4/20/33/40
SX 18/28AC/ Scenix RISC 50/200
MPC50x MPC8xx Motorola Motorola RISC RISC

 

 

Основные сравнительные характеристики ОМК серии 1816, ставших по сути промышленным стандартом, и микроконтроллеров PIC 16/17 приведены в табл. 1.3.

 

Таблица 1.3.

Сравнительные характеристики ОМК семейств К1816 и PIC 16/17

 

№ п.п. Основные характеристики Тип микроконтроллера
K1816BE31/51 PIC16/17
Технология n-МОП КМОП
Резидентная память программ Тип ПЗУ/ППЗУ
Объём 1К...4К 512...4К/8К
Объём резидентной памяти данных, байт 64/128 25...192/454
Частота синхронизации, МГц 6/12 20/25
Длительность цикла, мкс 2,5/1 0,2
Напряжение питания, В +5 +(2...6)
Энергопотребление 300 мА (5В,6МГц) < 300 мА (в режиме SLEEP) < 2 мА(5В, 4 МГц) 15 мкА(3В,32 кГц) < 1 мкА (3В, в режиме SLEEP)
Разрядность   команд, бит 12/14/16
данных, бит
           

 

Микроконтроллеры серии 1816 имеют традиционную Принстонскую архитектуру, в которой команды и данные передаются по одной и той же шине, требуют одного источника электропитания напряжением +5В, рассеивают мощность около 1,5 Вт и работают в диапазоне температур от 0 до 70С. По входам и выходам МК серии 1816 электрически совместимы с интегральными микросхемами ТТЛ. Микроконтроллер МК48 может работать в диапазоне частот синхронизации от 1 до 6 МГц, а минимальное время выполнения команды составляет 2,5 мкс. Микроконтроллер МК51 может работать в диапазоне частот от 1,2 до 12МГц, при этом минимальный цикл выполнения команды составляет 1мкс, а быстродействие равно одному миллиону коротких операций в секунду.

Анализ основных характеристик МК серии 1816 показывает, что МК51 целесообразно использовать на этапе опытно-конструкторской разработки и отладки систем, а также в малосерийных изделиях. Микроконтроллер МК49 имеет масочное ПЗУ программ, и по-этому его следует применять в крупносерийных изделиях. Микроконтроллеры, в которых нет резидентной памяти программ, используют, как правило, не в конечных изделиях, а в автономных отладочных устройствах и многофункциональных программируемых контроллерах, где в качестве памяти программ и данных используются внешние БИС и имеются средства загрузки программ.

Микроконтроллеры серии PIC 16/17 по сравнению с другими типами ОМК обеспечивают исключительную производительность. Архитектура RISC микроконтроллеров PIC устанавливает новый промышленный стандарт 5 MISP (миллионов операций в секунду). PIC имеют самое высокое быстродействие по сравнению с большинством наиболее распространенных 8-битовых микроконтроллеров аналогичного класса. Семейства микроконтроллеров PIC 16/17 обеспечивают более чем в 5-10 раз лучшую производительность по сравнению с микроконтроллерами серий ST62 фирмы SGS-Thomson, MC68HC05 фирмы Motorola, 8048/8049/8051 фирмы Intel, Z86CXX фирмы Zilog и COP800 фирмы National.

Такая производительность позволяет реализовать различные устройства, работающие в реальном масштабе времени, например: дисковые накопители, всевозможные терминалы, сканеры, высокоско-ростные системы управления автомобильными и электрическими двига-телями, связные процессоры и всевозможные устройства ввода-вывода (рис. 1.4).

 

 

– Конец работы –

Эта тема принадлежит разделу:

Однокристальные микроконтроллеры ОМК позволяют существенно расширить интеллектуальные возможности различного рода устройств и систем

Однокристальные микроконтроллеры ОМК позволяют существенно расширить... Подавляющее число ОМК имеют традиционную Фон Неймановскую или Принстонскую архитектуру в которой команды и данные...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Использование жесткой и программируемой логики

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Формализация проектирования МК-систем и устройств
  1.1.1. Блочно-иерархический подход   При проектировании микроконтроллерных устройств (МКУ) или систем (МКС) можно использовать блочно-иерархический под

Уровни и аспекты проектирования МКС
  Уровни Аспекты Функциональ- ный Алгоритмичес- кий Конструкторс- кий Технологичес-

Типовые структуры МК-систем и устройств
  Типовая структура МК-системы управления показана на рис. 1.3 и состоит из объекта управления, микроконтроллера и аппаратуры их взаимной связи (АВС). Микроконтроллер путем п

Проектируемых систем и устройств
  На системном и архитектурном уровнях проектирования МКС и МКУ всегда необходимо решать задачу выбора ОМК. В настоящее время выпускается большое количество различных типов ОМК такими

Особенности разработки аппаратурных средств МК-систем
  Применение однокристальных МК в устройствах управления объ-ектами привело к кардинальных изменениям в разработке аппаратурных средств устройств и систем. И дело здесь заключается в

МК-систем
Как уже отмечалось, при проектировании МК-систем прежде всего возникает необходимость решения задачи об оптимальном (по ряду критериев) распределении функций между аппаратурными средствами и програ

СТРУКТУРНАЯ ОРГАНИЗАЦИЯ И РЕЖИМЫ РАБОТЫ ОМК С RISC АРХИТЕКТУРОЙ
  2.1. Общие сведения об ОМК PIC16/17 и их классификация   В 1975 году фирма GI разработала периферийный контроллер (Peripheral Interface Contr

Однокристальные микроконтроллеры семейства PIC16C5X
2.2.1. Структурная организация микроконтроллеров PIC16C5X Особенности архитектуры и структурная схема. Структурная схема ОМК PIC16C5X показана на рис. 2.1. Основу структуры данного

FSR - Регистр косвенной адресации
RP1, RP0 – Биты 6 и 5 регистра FSR, соответственно   Рис. 2.6. Прямая и косвенная адресация   Существуют некоторые отличия при осущест

Окончание таблицы 2.7
  Мнемокод Название команды Цик лы Код команды (11-бит) Биты сос тоя- ния При- меча- ния

Особенности структурной организации ОМК PIC 16С71
Микроконтроллеры PIC 16С71 относятся к расширенному семейству и имею целый ряд отличий от МК базового семейства PIC 16С5Х главным 0из которого является наличие встроенного четырехканального анал

Обозначение выводов и их функциональное назначение
  PDIP, SOIC, CERDIP    

Организация памяти данных (ОЗУ)
  Память данных также как и в PIC 16С5Х имеет страничную организацию, но состоит всего из 2-х страниц (рис.3.3). Причем, страницы в различных модификациях данного МК имеют различные о

Описание специальных регистров PIC 16С71
  Адрес Имя Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1

Модуль таймера (TMRO-RTCC)
  Единственным отличием данного модуля от аналогичного в PIC 16С5Х является возможность формирования сигнала прерывания. Прерывание по RTCC вырабатывается тогда, когда происх

Регистр статуса (STATUS)
Отличается от аналогичного регистра PIC 16С5Х лишь тем, что вместо трех бит выбора страниц памяти программ РА2, РА1, РА0 в соответствующих разрядах 7,6 и 5 размещаются биты выбора страницы памят

Программный счетчик и организация памяти программ
Программный счетчик в PIC16C71 имеет ширину 13 бит и способен адресовать 8К х 14бит объема программной памяти. Однако, физически на кристалле PIC16C71/711 имеется только 1К х 14

Прерывания
  Прерывания в PIC16C71 могут быть от четырех источников: - внешнее прерывание с ножки RB0/INT, - прерывание от переполнения счетчика/таймера RTCC, - прерыв

Модуль аналого-цифрового преобразователя (АЦП)
  Модуль АЦП (рис. 3.10) содержит четыре входных аналоговых канала AIN3, AIN1, AIN2 и AIN3, мультиплексируемых на одну схему выборки/хранения и далее на АЦП. Опорное напряжение поступ

Состояние специальных регистров после сброса
  Адрес Имя Сброс по включению питания Сброс по MCLR и WDT Банк 0 00h

Регистр OPTION
  Регистр конфигурации предделителя и таймера (OPTION) доступен для чтения и записи и содержит различные управляющие биты, которые определяют конфигурацию предделителя, куда он подклю

Биты установки конфигурации
Кристалл PIC16C71 имеет пять битов конфигурации, которые хранятся в EPROM и устанавливаются на этапе программирования кристалла. Эти биты могут быть запрограммированы (читается как "0"

Режим пониженного энергопотребления
Вход в режим SLEEP осуществляется командой SLEEP. По этой команде, если WDT разрешен, то он сбрасывается и начинает счет времени, бит »в регистре статуса (f3) сбрасывается, бит

Система команд
Каждая команда PIC16C71 представляет собой 14‑разрядное слово, содержащее поле кода операции (OPCODE) и поле одного или более операндов, которые могут участвовать в этой команде. Формат ко

Особенности программирования
Разработка рабочих программ для микроконтроллеров PIC16C5X и PIC16C71 осуществляется по одной и той же методике с использованием одних и тех же инструментальных средств [7, 8, 10, 11]. Системы к

Особенности структурной организации PIC 16С84
  Структурная схема ОМК PIC 16С84 (16F84) приведена на рис.4.1. Главным отличием данного МК от PIC 16С71 является наличие электрически перепрограммируемой памяти данных-констант EEPRO

Обозначение выводов и их функциональное назначение
  Расположение и обозначение выводов ОМК PIC 16С84 полностью совпадает с PIC 16С71 за исключением того, что ножки RA0, RA1, RA2, RA3 в связи отсутствием АЦП представляют собой лишь дв

Долговременная память данных-констант EEPROM
Память данных-констант EEPROM позволяет прочитать и записать байт информации. При записи байта автоматически стирается предыдущее значение и записывается новое (стирание перед записью). Все эти

Описание специальных регистров PIC 16F84
  Адрес Имя Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1

Организация прерываний
  Прерывания в PIC 16С84 организованы точно также как и в PIC 16С71 (см. разд. 3.8). Но, вместо прерывания от АЦП (в связи с его отсутствием) введено прерывание по окончании записи да

Состояние специальных регистров после сброса
  Адрес Имя Сброс по включению питания Сброс по MCLR и WDT Банк 0 00h

МЕТКА ОПЕРАЦИЯ ОПЕРАНД(Ы) КОММЕНТАРИЙ
  Звенья (поля) могут отделяться друг от друга произвольным числом пробелов. Порядок и позиция полей важны. Так, метки должны начинаться в первом столбце. Операция (мнемоника команды)

Использование программы-транслятора MPASM
  5.5.1. Запуск транслятора   Для того, чтобы запустить транслятор необходимо выбрать курсором MPASM.EXE и нажать "Ввод". На экране появится ме

Отладка рабочих программ
  После получения объектоного кода рабочей программы неизбежно наступает этап отладки, то есть установления факта ее работоспособности, а также выявления (локализации) и устранения ош

Использование симулятора-отладчика MPSIM
  5.7.1. Последовательность действий при запуске   Данный симулятор позволяет промоделировать работу рабочей программы и проверить выполнение соответству

Назначение команд
После запуска MPSIM необходимо выбрать контролируемые регистры в области просмотра на экране монитора. Для этого можновоспользоваться следующими командами: AD - позволя

RS ; Перезагрузить процессор
Приведенный пример является стандартным и может быть использован в качестве INI-файла для вашей программы, адреса регистров для просмотра выберите соответственно своему приложению.

Назначение и основные функциональные возможности
Интегрированная среда разработки рабочих программ MPLAB 3.30 представляет собой набор программ, объединенных в единый пакет , который содержит: - редактор (Editor Only); - ассембл

Краткая характеристика основных программ
  6.2.1. Ассемблер MPASM   Универсальный макроассемблер MPASM - это символьный ассемблер, который поддерживает разработку рабочих программ для всех семей

Интерфейс пользователя и главное меню интегрированной среды MPLAB 3.30
  Интерфейс пользователя интегрированной среды MPLAB 3.30 представляет собой многоуровневую систему вложенных меню, позволяющих быстро и удобно задать нужный режим работы и сконфигури

Меню основного пакета программ.
  Меню основного пакета программ содержит следующие пункты (подменю): File, Project, Edit, Debug, Picmaster, Option. Каждый пункт содержит ряд команд, которые выполня

Меню File
Команды (опции) меню File позволяют разработчику просматривать тексты программ, загружать и редактировать их, сохранять на носителе и распечатывать их, переименовывать, а также выйти из оболочки

MPLAB 3.30
6.7.1. Постановка задачи и алгоритм ее решения   Возьмем для примера следующую, достаточно часто встречающуюся на практике, задачу,

ВВОД ИНФОРМАЦИИ С ДАТЧИКОВ И ФОРМИРОВАНИЕ СИГНАЛОВ УПРАВЛЕНИЯ
  В технических системах различного назначения события в объекте управления фиксируются с помощью разнообразных датчиков цифрового и аналогового типов. Наибольшее распростран

Импульсов заданной длительности.
  Пусть, например, необходимо с помощью микроконтроллера PIC16F84 осуществить опрос двоичного датчика и, в зависимости от его состояния, либо организовать процедуру «ожидан

Ввод информации с группы взаимосвязанных двоичных датчиков
7.2.1. Ввод байта состояния одного датчика   Пусть, например, необходимо ввести байт состояния датчика дискретных сигналов (Di), сравнить его с уставкой, хр

ПРЕОБРАЗОВАНИЕ ИНФОРМАЦИИ ИЗ ОДНОЙ ФОРМЫ ПРЕДСТАВЛЕНИЯ В ДРУГУЮ
  Довольно часто в микроконтроллерных устройствах возникает необходимость преобразования информации из одной формы представления в другую. Это связано с тем, что обработка данных в ми

Преобразование кодов из одной системы счисления в другую
  Преобразование кода из одной позиционной системы счисления в другую осуществляется делением исходного числа на основание новой системы счисления. При этом деление должно выполнятся

Статических сигналов
Рассмотрим пример, в котором необходимо ввести от 2-х независимых датчиков аналоговые сигналы постоянного тока (U1 и U2), выполнить сравнение их между собой и по результатам сравнения осуществит

ОТОБРАЖЕНИЕ ИНФОРМАЦИИ В МКУ.
Во многих случаях в микроконтроллерных устройствах требуется наличие только простой индикации типа ДА/НЕТ, ВКЛ/ВЫКЛ. Такая индикация реализуется на основе отдельных светодиодов. Для отобра

Изучение пакета MPLAB
  1. ЦЕЛЬ На примере микроконтроллера PIC16C56 выучить режимы работы портов ввода/вывода, способы и особенности их инициализации. Рассмотреть ввод/вывод дискретных сигналов.

Режимы работы таймера. Сторожевой таймер (WDT)
1. ЦЕЛЬ Выучить основные режимы функционирования таймера, способы и особенности его инициализации, варианты использования и настройки предыдущего делителя, функционирования сторожевого тай

Страничная организация памяти
  1. ЦЕЛЬ Выучить способы формирования временных интервалов разной длительности, организацию страничной памяти программ и данных.   2. ЗАДАНИЕ ПО ЛАБОР

Организация и использование памяти данных.
  1. ЦЕЛЬ Выучить страничную организацию памяти данных. Научиться использовать режим непрямой адресации ячейки памяти данных. Выучить организацию и способы доступа к енергоне

Собственные обработчики прерываний
  1. ЦЕЛЬ Выучить систему прерываний микроконтроллера PIC16F84, способы формирования прерываний, использования обработчиков нескольких прерываний.   2.

Формирование сигналов управления и индикации
  1. ЦЕЛЬ Приобрести навык составления функциональной схемы. Выучить способы формирования сигналов управления и индикации, научиться формировать звуковые и световые сигналы н

В мк семейства PIC16Cxx
  1. ЦЕЛЬ Выучить принцип работы аналого-цифрового преобразователя на примере микроконтроллера PIC16C71. Научиться вводить аналоговые сигналы. Рассмотреть способы вывода анал

Семейства PIC
Таблица А.1   Название Память программ RAM/ EE Fm I/O Таймер CCP/ PWM

B1. Описание команд PIC 12CXX и PIC 16C5X
  ADDWF Add Wand f Сложение W с f Синтаксис: ADDWF f,d Операнды: 0<=3<=1, [0,1] Операция: (W)+(f) -> (dest) Биты с

Пропустить команду, если бит равен нулю
Синтаксис: BTFSC f,b Операнды: 0<=31, 0<=Ь<=7 Операция: Пропустить, если f(b)=0. Биты состояния: Не изменяются. КОД: 0110 bbbf ffff Описание: Е

Пропустить команду, если бит равен единице
Синтаксис: BTFSS f,b Операнды: 0<=f<=31, 0<=b<=7 Операция: Пропустить, если f(b)=1. Биты состояния: Не изменяются. КОД: 0111 bbbf ffff Описа

Вызов подпрограммы
Синтаксис: CALL k Операнд: 0<=k<=255 Операция: (PC)+1->TOS, k->PC<7:0>, (STATUS<6:5>)->PC<10:9>, 0->PC<8> Биты состояния: Не изм

Сброс сторожевого таймера WDT
Синтаксис: CLRWDT Операнд: Нет. Операция: 00h->WDT, 0->WDT prescaler, 1->TO, 1->PD Биты состояния: ТО, PD Код: 0000 0000 0100 Описание: Кома

Инверсия регистра f
Синтаксис: COMF f,d Операнды: 0<=f<=31, [0,1] Операция: (f)->(dest) Биты состояния: Z КОД: 0010 01df ffff Описание: Содержимое регистра f инвер

Декремент регистра f
Синтаксис: DECF f,d Операнды: 0<=f<=31, [0,1] Операция: (f) - 1->(dest) Биты состояния: Z Код: 0000 11df ffff Описание: Регистр f уменьшается н

Декремент f, пропустить команду, если 0
Синтаксис: DECFSZ f,d Операнды: 0<=f<=31, [0,1 ] Операция: (f)—1->(dest); пропустить, если (dest)=0 Биты состояния: Не изменяются. КОД: 0010 11df ffff

Переход по адресу
Синтаксис: GOTO k Операнд: 0<=k<=511 Операция: k->PC<8:0>, (STATUS<6:5>)->PC<8:9> Биты состояния: Не изменяются. Код: 101k kkkk kkk

Инкремент регистра f
Синтаксис: INCF f,d Операнды: 0<=f<=31, [0,1] Операция: (f)+1->(dest) Биты состояния: Z Код: 0010 10df ffff Описание: Регистр f увеличивается н

Инкремент f, пропустить команду, если 0
Синтаксис: INCFSZ f,d Операнды: 0<=f<=31, [0,1] Операция: (f)+1->(dest); пропустить, если (dest)=0 Биты состояния: Не изменяются. КОД: 0011 11df ffff

Логическое ИЛИ W и f
Синтаксис: IORWF f,d Операнды: 0<=f<=31, [0,1] Операция: (W).OR.(f)->(dest) Биты состояния: Z КОД: 0001 00df ffff Описание: Содержимое регистра

Пересылка регистра f
Синтаксис: MOVF f,d Операнды: 0<=f<=31, [0,1] Операция: (f)->(dest) Биты состояния: Z КОД: 0010 00df ffff Описание: Содержимое регистра f перес

Холостая команда
Синтаксис: NOP Операнд: Нет. Операция: Нет. Биты состояния: Не изменяются Код: 0000 0000 0000 Описание: Нет операции. Циклов: 1 Пример

Сдвиг f влево через перенос
Синтаксис: RLF f,d Операнды: 0<=f<=31, [0,1] Операция: f<n>->d<n+1>, f<7>->C, C->d<0> Биты состояния: С КОД: 0011 01df fff

Сдвиг f вправо через перенос
Синтаксис: RRF f,d Операнды: 0<=f<=31, [0,1] Операция: t<n>->d<n-1>, f<0>->C, C->d<7> Биты состояния: С Код: 001111df ffff

Переход в режим SLEEP
Синтаксис: SLEEP Операнд: Нет Операция: 00h->WDT, 0->WDT prescaler, 1->TO, 0->PD Биты состояния: ТО, PD Код: 0000 0000 0011 Описание: Команд

Вычитание W из f
Синтаксис: SUBWF f,d Операнды: 0<=f<=31, [0,1] Операция: (f) - (W)->(dest) Биты состояния: С, DC, Z КОД: 0000 10df ffff Описание: Содержимое ре

Обмен тетрад в f
Синтаксис: SWAPF f,d Операнды: 0<=f<=31, [0,1] Операция: f<0:3>->d<4:7>, f<4:7>->d<0:3> Биты состояния: Не изменяются. Код: 0

Загрузка регистра
Синтаксис: TRIS f Операнд: 5<=f<=7 Операция: (W)->TRIS register f Биты состояния: Не изменяются. Код: 0000 0000 0fff Описание: Содержимое регис

Исключающее ИЛИ константы и W
Синтаксис: XORLW k Операнд: 0<=k<=255 Операция: (W).XOR.(k)->W Биты состояния: Z Код: 1111 kkkk kkkk Описание: Содержимое регистра W поразрядно

B.2.Описание дополнительных команд для семейства PIC 16СХХ
  RETFIE Return from Interrupt Возврат из прерывания Синтаксис: [label] RETFIE Операн

Контрольные задачи
1. Предложите микроконтроллерное устройство позволяющее осуществить опрос двоичного датчика и, в зависимости от его состояния, либо организовать процедуру «ожидания события», либо сформировать и вы

И их отличия от микроконтроллеров PIC 16CХХ
  Семейство однокристальных микроконтроллеров PIC 12CХХ состоит из самых простых МК с RISC архитектурой. Все микроконтроллеры данного семейства имеют только 33 12-ти разрядных команды

F2. Отличия ОМК PIC 17CХХ от PIC 16CХХ.
  Микроконтроллеры PIC 12C67X и PIC 12F68X представляют собой упрощенные модификации PIC 16C71 и PIC 16F84 соответственно, но без механизма прерываний. Так микроконтроллеры PIC 12C6X

G2. Совместимость PIC 17CXX и PIC 16CXX.
Чтобы преобразовать текст программ PIC 16CXX для использования в PIC 17CXX, нужно выполнить следующее: 1. Удалить все команды OPTION и TRIS, заменив их эквивалентными. 2. Разделит

ОСОБЕННОСТИ ПРОЕКТИРОВАНИЯ ТЕХНИЧЕСКИХ СИСТЕМ
И УСТРОЙСТВ НА МИКРОКОНТРОЛЛЕРАХ…………………………… 1.1. Формализация проектирования МК-систем и устройств………………… 1.1.1. Блочно-иерархический подход……………………………………………

СТРУКТУРНАЯ ОРГАНИЗАЦИЯ И РЕЖИМЫ РАБОТЫ ОМК С RISC-АРХИТЕКТУРОЙ
2.1. Общие сведения об ОМК PIC16/17 и их классификация…………………… 2.2. Однокристальные микроконтроллеры семейства PIC16C5X………………. 2.2.1. Структурная организация микроконтроллеров PIC

ДЛЯ ОМК PIC
5.1. Правила записи программ на языке Ассемблера . . . . . . . . . . . . . 5.2. Структура рабочей программы . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3. Пример н

ИНТЕГРИРОВАННАЯ СРЕДА РАЗРАБОТКИ РАБОЧИХ ПРОГРАММ MPLAB 3.30 ДЛЯ ОТЛАДКИ ОМК PIC
6.1. Назначение и функциональные возможности . . . . . . . . . . . . . . . . . 6.2. Краткая характеристика основных программ . . . . . . . . . . . . . . . . . 6.2.1. Ассемблер MPA

Система прерываний МК PIC16F84. Собственные обработчики прерываний
10.6. Формирование сигналов управления и индикации 10.7. Ввод и вывод аналоговых сигналов в МК семейства pic16cxx   Приложение А. Сравнительные характеристики ОТР ми

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги