рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Иерархические блоки

Иерархические блоки - раздел Транспорт, АВТОМАТИЗИРОВАННОЕ ПРОЕКТИРОВАНИЕ СЛОЖНЫХ СИСТЕМ Любой Фрагмент Схемы Можно Оформить В Виде Иерархического Блока, Символ Котор...

Любой фрагмент схемы можно оформить в виде иерархического блока, символ которого представляет собой прямоугольник, и затем разместить его на схеме, что позволяет уменьшить ее размеры. Другое применение иерархических блоков — представление с их помощью повторяющихся фрагментов схем: различных фильтров, усилителей, выпрямителей, сумматоров и т.п.

Иерархический блок размещается на схеме по команде Place>Hierarchical Blockили нажатием на кнопку панели инструментов. На следующем рисунке представлено диалоговое окно этой команды.

 

 

Диалоговое окно, показанное на рисунке, имеет следующие панели:

Ø Ссылка — позиционное обозначение иерархического блока;

Ø Тип реализации — тип иерархического блока, принимающий значения:

o Схемы — схема объекта,

o VHDL — описание компонента на языке VHDL,

o EDIF — список соединений в формате EDIF,

o Project — проект ПЛИС,

o PSpice Model — файл математической модели в формате PSpice; причем в этом блоке необходимо вручную разместить иерархические выводы,

o PSpice Stimulus — файл внешнего воздействия в формате PSpice; причем в этом блоке необходимо вручную разместить иерархические выводы;

Ø Имя реализации – имя иерархического блока;

Ø Части и имена файлов – полное имя файла, в котором находится описание иерархического блока (не указывается, если файл размещается в каталоге текущего проекта, в этом случае в качестве имени его папки принимается имя иерархического блока);

Ø Примитив – тип блока: Да – элементарный блок; Нет – блок, имеющий иерархическую структуру, Стандартный – устанавливается по умолчанию (в соответствием с настройкой конфигурации на закладке Hierarchy команды Options>Design Template;

Ø Свойства пользователя — открытие диалогового окна для ввода дополнительных параметров блока.

Для включения в схему устройства заранее спроектированного модуля, необходимо в качестве типа реализации выбрать "Схемы", а в качестве имени реализации ввести тот раздел "Schematic" проекта, который содержит реализованный модуль. После этого, при размещении иерархического блока на рабочем пространстве, автоматически на нем будут обозначены входные и выходные контакты, соответствующие входным и выходным портам модуля.

Если схемы замещения нет, то после закрытия диалогового окна и размещения иерархического блока, по команде Place>Hierarchical Pinили нажатием на кнопку панели инструментов вводятся выводы этого блока Диалоговое окно команды приведено на следующем рисунке:

 

 

В диалоговом окне указываются:

Ø на панели Name — имя вывода;

Ø в графе Туре — тип вывода:

o 3 State — вывод цифрового компонента, имеющий три состояния;

o Bidirectional — двунаправленный вывод цифрового компонента;

o Input — вход;

o Open Collector — вывод цифрового компонента типа открытый коллектор!

o Open Emitter — вывод цифрового компонента типа открытый эмиттер!

o Output — выход;

o Passive — вывод пассивного компонента;

o Power — вывод подключения к источнику питания;

Ø на панели Width выбирается тип цепи, подключаемой к выводу:

o Scalar — одиночная цепь;

o Bus — шина.

Чтобы не открывать это окно каждый раз при размещении нового вывода, можно разместить все выводы блока одного типа, а затем отредактировать таблицу всех выводов, выбрав строку Edit Propertiesво всплывающем меню.

После завершения команды Place>Hierarchical Blockавтоматически создается папка с указанным именем. В эту папку необходимо поместить описание иерархического блока в виде его схемы замещения (если выбран тип блока Schematic View) или текстового описания на языке VHDL. Цепям, которые подключаются к выводам иерархического блока, присваиваются имена, совпадающие с именами соответствующих выводов, или по команде Place>Hierarchical Portили нажатием на кнопку панели инструментов вводятся внешние порты схемы этого блока (имена портов также должны совпадать с именами соответствующих выводов, чтобы обеспечить их электрическое соединение).

 

– Конец работы –

Эта тема принадлежит разделу:

АВТОМАТИЗИРОВАННОЕ ПРОЕКТИРОВАНИЕ СЛОЖНЫХ СИСТЕМ

НАЦИОНАЛЬНЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ... quot ХАРЬКОВСКИЙ ПОЛИТЕХНИЧЕСКИЙ ИНСТИТУТ quot Леонов С Ю Гладких Т В...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Иерархические блоки

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Исследование функционирования базовых логических элементов
Основными, или базовыми, логическими элементами в вычислительной технике являются элементы "И", "ИЛИ", "НЕ". Элемент "И", еще его называют элементом

Проектирование цифрового устройства
· Создать новый проект (выбрать ) o Ввести имя нового проекта o Ok o

Проектирование аналогового устройства
· Создать новый проект (выбрать ) o Ввести имя нового проекта o Ok o

Программно реализуемые источники входного сигнала в системе OrCAD
В системе OrCAD при моделировании цифровых устройств кроме использования источника цифрового сигнала DigClock, применяются также и источники, в которых значения сигналов можно пода

Использование шин в системе OrCAD
Использование шин позволяет существенно облегчить процесс проектирования схемы устройства, а также задание входных сигналов этого устройства. Кроме того, используя шины, можно добиться вывода време

Порядок выполнения работы
Ø Часть I 1. Создать проект моделирования устройств; 2. Выполнить проектирование и моделирование устройства первого иерархического уровня; 3. Выпо

Представление логической функции, заданной таблично, в аналитической форме
Любая таблично заданная логическая функция может быть представлена в совершенной нормальной дизъюнктивной форме (СНДФ) или в совершенной нормальной конъюнктивной форме (СНКФ). Соверше

Использование минимизация логических функций при автоматизации проектирования.
Минимизация логической функции – это процесс представления ее в виде минимального количества элементарных функций. Наиболее универсальным методом минимизации функций являе

I этап.
а) Получение импликант ранга 2 и 1.    

II этап.
 

I этап.
а) Получение импликант ранга 2 и 1.  

II этап.
 

I этап.
а) Получение импликант ранга 2 и 1.    

II этап.
 

Представление логических функций в различных базисах.
Для представления логических функций в различных базисах можно воспользоваться правилами де Моргана:

Порядок выполнения работы
1. По таблично заданной функции получить ее аналитическое представление в СНДФ 2. Выполнить моделирование синтезированного устройства в системе OrCAD 3. Получить минимизированное

Асинхронное моделирование.
Асинхронный метод моделирования применяется для анализа переходных процессов в логических схемах. В этом методе учитывается время распространения сигналов в элементах и соединительных цепях схемы.

Справочная информация по элементам
Источники аналоговых сигналов   Источник сигнала Название Изображение Описание параметров

Порядок выполнения работы
Ø Исследование динамических характеристик цифровых элементов различных серий на примере элементов "НЕ" 6. Создать проект моделирования устройств;

Применение пятизначного моделирования для анализа работоспособности сложных цифровых устройств
Пятизначное моделирование позволяет обнаружить динамические риски сбоев в схемах. Существенным в пятизначном моделировании является обозначение не только факта изменения сигнала, как это д

Справочная информация по элементам
Источники цифровых сигналов   Источник сигнала Название Изображение Описание параметров Г

Ключи, управляемые напряжением
Ключ, управляемый напряжением, находится в библиотеке BREAKOUT под именем Sbreak. Работу ключа можно описать следующим выражением:

Формирование многоступенчатой функции
Для формирования многоступенчатой функции при помощи ключей, управляемых напряжением необходимо выполнить следующие условия: Ø Ввести на схему столько ключей, сколько должно быть вы

Цифро-аналоговые и аналого-цифровые преобразователи
Под гибридными компонентами понимают элементы вычислительной техники, которые осуществляют интерфейсную связь между цифровой и аналоговой частями моделируемого устройства. В частно

Моделирование аналого-цифрового преобразователя (АЦП)
В САПР OrCAD многоразрядные АЦП находятся в библиотеке BREAKOUT. Они предназначены для получения 8-ми, 10-ти и 12-ти разрядного цифрового кода, в зависимости от типа используемого

Моделирование цифро-аналогового преобразователя (ЦАП)
Цифро-аналоговые преобразователи (ЦАП) также находятся в библиотеке BREAKOUTи позволяют преобразовывать 8-ми, 10-ти и 12-ти разрядный цифровой код в аналоговый сигнал, напряжение к

Элементы библиотеки ABM
Библиотека ABM.slb содержит управляемые источники напряжения и тока, а также другие функциональные блоки. Некоторые, наиболее часто используемые элементы, сведены в таблицу 1.

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги