рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

RS триггеры.

RS триггеры. - Лекция, раздел Электроника, Курс лекций по дисциплине ЭЛЕКТРОННЫЕ ВЫЧИСЛИТЕЛЬНЫЕ Основу Одноступенчатого Триггера Составляет Рассмотренная Выше Запоминающая Я...

Основу одноступенчатого триггера составляет рассмотренная выше запоминающая ячейка. Комбинационная схема преобразует управляющие сигналы триггера,Таблица переходов RSтриггера приведена в таблице 6.1.

 

Таблица 6.1.Таблица состояний асинхронного RS триггера

Входы Выходы
R S Q -Q
хранение хранение
Не разрешен Не разрешен

 

Триггер называется синхронным, если его таблица переходов хотя бы по одному управляющему входу реализуется под воздействием синхронизирующего сигнала.

Рассмотрим организацию синхронного одноступенчатого триггера (рис. 6.3.).

Синхросигнал C разрешает передачу на входы элементов 1 и 2 таких значений сигналов S и R, которые устанавливают выход в то или иное состояние. Неактивное значение синхросигнала обеспечивает на входах запоминающей ячейки состояние управляющих сигналов S = R = 1, что соответствует для нее режиму хранения.

Таблица переходов такого триггера представлена в табл. 6.2.

 

 

 

 

Рис. 6.3. Синхронный одноступенчатый RS-триггер.

 

C R S Q(t+1)
Хранение
Хранение

Таблица 6.2. переходов одноступенчатого синхронизируемого RS-триггера

 

При C = 0 выходы элементов 3 и 4 (см. рис. 6.3.) будут в состоянии "1", что соответствует режиму хранения запоминающей ячейки, реализованной на элементах 1 и 2.

Представленный на рис. 6.3. триггер имеет статическую синхронизацию, при которой управляющие сигналы активизируют входы S и R запоминающей ячейки во время высокого уровня сигнала на входе синхронизации. Его условно-графическое обозначение приведено на рис. 6.4.а. Условно-графические обозначения триггеров, использующих другие типы синхронизации, приведены на рис. 6.4.,в,г (на примере RS-триггера).

На рис. 6.4.б представлено условно – графическое обозначение триггера со статической синхронизацией в случае, если активный уровень синхросигнала низкий. Условно-графические обозначения триггеров с динамической синхронизацией показаны на рис. 6.4.,в и 6.4.г.

.

 

 

Рис. 6.4. Условно-графические обозначения RS-триггера с различной синхронизацией: а - статическая синхронизация; б - статическая инверсная синхронизация; в - динамическая синхронизация передним фронтом синхросигнала; г - динамическая синхронизация задним фронтом синхросигнала

 

 

Рис. 6.5. Временная диаграмма работы RS-триггера с различными типами синхронизации: Qа – статическая синхронизация; Qб– статическая инверсная синхронизация; Qв –динамическая синхронизация передним фронтом синхросигнала; Qг– динамическая синхронизация задним фронтом синхросигнала

 

В первом случае изменение состояния триггера под воздействием поступивших управляющих сигналов происходит только в момент переключения синхронизирующего сигнала с низкого уровня на высокий, а во втором – при переключении с высокого на низкий уровень. При постоянном значении уровня синхросигнала состояние выхода триггера с динамической синхронизацией не меняется при любых изменениях управляющих сигналов на его входах

Идеализированная (без учета задержек) временная диаграмма работы RS-триггеров с различными типами синхронизации приведена на рис. 6.5..

Как отмечалось выше, синхронный триггер, помимо управляющих входов, воздействующих на его состояние при подаче сигнала синхронизации, может иметь входы, которые воздействуют на его состояние непосредственно. Обычно они используются для установки триггера в то или иное начальное состояние перед подачей последовательности синхросигналов. Схема синхронного RS-триггера с асинхронными входами установки в "0" и в "1" приведена на рис. 6.6., а его условно-графическое обозначение – на рис. 6.7.

 

 

Рис. 6.6.. Синхронный одноступенчатый RS-триггер с асинхронными установочными входами

 

 

 

Рис. 6.7. Условно-графическое обозначение синхронного одноступенчатого RS-триггера с асинхронными установочными входами

 

Сигналы, поступающие по асинхронным входам S и R, подаются непосредственно на входы запоминающей ячейки, образованной элементами 1 и 2, минуя цепь, управляемую синхросигналом (элементы 1 и 2), и вызывают переключение запоминающей ячейки согласно табл. 6.1.

При построении отдельных схем на основе триггеров, например, регистров сдвига, необходимо, чтобы значения выходных сигналов триггера не изменялись на то время, пока производится их запись и значения его выходных сигналов в другой триггер, но сам этот триггер должен воспринимать значения с выхода некоторой другой триггерной схемы. Эти, а также некоторые другие ситуации требуют особых подходов к организации триггера, основным из которых является создание двухступенчатых триггеров.

 

 

 

 

 

Рис. 6.8. Схема двухступенчатого RS-триггера

Двухступенчатый RS-триггер (рис. 6.8. и рис. 6.9.) строится на основе двух одноступенчатых триггеров с прямой статической синхронизацией. Информация в первую ступень триггера (элемент 1) заносится во время действия высокого уровня синхросигнала. После того как синхросигнал на входе принимает низкое значение, элемент 1 переходит в режим хранения, а значение высокого сигнала на выходе инвертора 3 обеспечивает запись состояния триггера 1 в триггер 2. Идеализированная временная диаграмма работы двухступенчатого RS-триггера приведена на рис. 6.10

 

 

Рис. 6.9.. Условно-графическое обозначение двухступенчатого RS-триггера

 

 

Рис. 6.10. Временная диаграмма работы двухступенчатого RS-триггера

 

Примером реального RS-триггера является микросхема ТР2, в одном корпусе которой находятся четыре RS-триггера. Два триггера имеют по одному входу –R и –S, а два других триггера — по одному входу –R и по два входа –S1 и –S2, объединенных по функции И. Все триггеры имеют только по одному прямому выходу.

 

– Конец работы –

Эта тема принадлежит разделу:

Курс лекций по дисциплине ЭЛЕКТРОННЫЕ ВЫЧИСЛИТЕЛЬНЫЕ

СОДЕРЖАНИЕ Стр ЛЕКЦИЯ История создания и развития ЭВМ... ЛЕКЦИЯ ИСТОРИЯ СОЗДАНИЯ И РАЗВИТИЯ ЭВМ Этапы развития...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: RS триггеры.

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Этапы развития ЭВМ.
Идея использования программного управления для построения устройства, автоматически выполняющего арифметические вычисления, была впервые высказана английским математиком Ч.Бэббиджем еще в 1833г. Од

Принципы работы ЭВМ
Любая форма человеческой деятельности, любой процесс функционирования технического объекта связаны с передачей и преобразованием информации. Информацией называются сведения о тех или иных явлениях

Система счисления.
Способ представления изображения произвольных чисел с помощью некоторого конечного множества символов назовем системой счисления. В повседневной практике мы пользуе

Позиционные системы счисления.
Само название этих систем указывает на связь значимости числа и его изображения от позиции. Позиция - некоторое место, в котором может быть представлен лишь один символ. Пр

Выбор системы счисления.
Возникает естественный вопрос, является ли общепринятая система счисления с основанием 10 оптимальной? Если да, то с каких позиций? Вопрос заслуживает внимания, т.к. одна из первых ВМ

Перевод чисел из одной системы счисления в другую.
Всякий раз, когда используется для вычислений система счисления, отличная от фактической, необходимо выполнить перевод 10 => p, p => 10. Этот перевод может быть

Перевод чисел из одной системы счисления в другую, когда одно основание является целой степенью другого.
Как мы уже знаем, в ЭВМ наибольшее применение находит система с основаниями 2, 4, 8, 16, т.е. системы которые кратны степени 2. Поэтому целесообразно рассмотреть лишь правила перевода чисел в этих

Фиксированная запятая
Оговоримся, что разрядная сетка машины имеет постоянное число разрядов - n. При представлении чисел с фиксированной запятой считают, что запятая всегда находится перед старшим разря

Плавающая запятая
В ЭВМ с плавающей запятой число представляется в виде: X = ± Mx * q±p, где: Mx - мантисса числа; q - основание системы счисления; p - порядок. Разрядная

Дополнительный код
Дополнительным называется код, в котором для положительного числа в знаковом разряде пишется "0", в цифровых - модуль числа, а для отрицательного в знаковом разряде пишется "1",

Обратный код
Обратным называется код, для которого в знаковом разряде положительного числа пишется "0", в цифровых - модуль числа, а для отрицательного - в знаковом разряде пишется единица, в цифровых

Логические функции и их свойства
Существенная часть ЭВМ состоит из электронных элементов, реализующих функции алгебры логики – Булевой алгебры. Студентам кафедры информационных технологий МГУТУ читают курс дискретной мате

Сложение по mod 2
1 х = x0 x = xx x = 1 x x x ... x = х – при нечетном числе членов, 0 - при четном числе членов Правило де Моргана x1 x2 ...

Базовые элементы цифровой электротехники
Изучение базовых элементов цифровой электроники мы начнем с наиболее простых, а затем будем рассматривать все более сложные. Примеры применения каждого следующего элемента будут опираться на все эл

Инверторы
Самый простой логический элемент — это инвертор (логический элемент НЕ, "inverter") выполняет простейшую логическую функцию — инвертирование, то есть изменение уровня входного сигнала на

Элементы И, И-НЕ, ИЛИ, ИЛИ-НЕ
Следующий шаг на пути усложнения компонентов цифровой электроники — это элементы, выполняющие простейшие логические функции. Объединяет все эти элементы то, что у них есть несколько равноправных вх

Дешифраторы
Функции дешифраторов и шифраторов понятны из их названий. Дешифратор преобразует входной двоичный код в номер выходного сигнала (дешифрирует код), а шифратор преобразует номер входного сигнала в вы

Шифратор
Шифратор – схема, имеющая 2n входов и n выходов, функции которой во многом противоположны функции дешифратора (рис. 5.5). Эта комбинационная схема в соответствии с унитарным кодом

Сумматоры
Сумматор (английское Adder), является простейшим цифровым устройством. Это узел ЭВМ, выполняющий арифметическое суммирование кодов чисел, т.е. он предназначен для сложения двух чисел, заданных в дв

ЛЕКЦИЯ 6.ПОСЛЕДОВАТЕЛЬСКИЕ УЗЛЫ ЭВМ.
Последовательскими называются цифровые микросхемы, имеющие внутреннюю память. Простейшими из них являются триггеры, регистры и счетчики. Если выходные сигналы логических элементов и комбинационных

Триггеры
В основе любого триггера (англ. — "тrigger" или "flip-flop") лежит схема из двух логических элементов, которые охвачены положительными обратными связями (то есть сигналы

D-триггеры
D-триггер (D от англ. delay — задержка, либо от data- данные) — запоминает состояние входа и выдаёт его на выход. D-триггеры имеют, как минимум, два входа: информационный D

Т-триггеры
Его называют также триггером со счетным входом. Он имеет один управляющий вход Т и два выхода Q и -Q. Информация на выходе такого триггера меняет свой знак на противоположный при каждом положительн

Регистр сдвига
Регистр сдвига – регистр, обеспечивающий помимо хранения информации, сдвиг влево или вправо всех разрядов одновременно на одинаковое число позиций. При этом выдвигаемые за пределы

Счетчики
Счетчиком называется электронная схема, предназначенная для подсчета числа сигналов, поступающих на его счетный вход. Счетчики используются в устройстве управления ко

Описание АЛУ
Арифме́тико - логи́ческое устро́йство (АЛУ) (англ. arithmetic and logic unit, ALU) — блок центрального процессора, который под управлением устройства управления (УУ) сл

Работа АЛУ.
Предполагается, что операнды хранятся в оперативной памяти. 1. По управляющему сигналу Y1 первое слагаемое (или уменьшаемое при вычитании)поступает из оперативной памяти по B

ЛЕКЦИЯ 8.УСТРОЙСТВО УПРАВЛЕНИЯ.
Компьютер условно можно разделить на два основных блока: операционный и управляющий. Для реализации любой команды необходимо на соответствующие управляющие входы любого устройства компьютера подать

Схемное устройство управления
Устройство управления схемного типа (рис. 8.1) состоит из: · датчика сигналов, вырабатывающего последовательность импульсов, равномерно распределенную во времени по своим шин

Датчик сигналов на сдвиговом регистре
Проектирование датчика сигналов на сдвиговом регистре требует лишь его "закольцовывания", то есть соединения выхода последнего разряда с входом, через который в регистр заносится и

ЛЕКЦИЯ 9. ПАМЯТЬ.
Памятью ЭВМ называется совокупность устройств, служащих для запоминания, хранения и выдачи информации. Отдельные устройства, входящие в эту совокупность, называются запоминающим

Оперативная память
Операти́вная па́мять(ОП), Оперативное запоминающее устройство (ОЗУ) (англ. Random Access Memory, часть системы компьютерной памяти, в которой временно хранятся данные и команды, не

Форматы команд
Запись любой команды определяется ее форматом. Формат команды – это структура команды, позволяющая распознать назначение отдельных ее полей. Исходя из определения

Способы адресации
Решить проблему сокращения разрядности команды только за счет сокращения количества указываемых в команде операндов и применения регистровой памяти невозможно. Этой же цели служит использование раз

Прямая адресация.
Физический адрес операнда совпадает с кодом в адресной части команды (рис. 10.4). Формальное обозначение: Операндi = (Аi), где Аi – код, со

Косвенная адресация
Адресная часть команды указывает адрес ячейки памяти (рис. 10.6,а) или номер регистра (рис. 10.6,б), в которых содержится адрес операнда:   Операндi = ((Аi

Относительная адресация.
Этот способ используется тогда, когда память логически разбивается на блоки, называемые сегментами. В этом случае адрес ячейки памяти содержит две составляющих: адрес начала сегмента (базовый адрес

ЛЕКЦИЯ 11. ВЫПОЛНЕНИЕ ОДНОЙ КОМАНДЫ ЭВМ.
Для улучшения понимания вопросов взаимодействия узлов и устройств ЭВМ рассмотрим автоматическое выполнение команды в трехадресной ЭВМ с классической архитектурой. Структурная схема такой ЭВМ показа

Назначение, область применения многопроцессорных вычислительных систем
Многопроцессорные вычислительные системы могут существовать в различных конфигурациях. Наиболее распространенными типами МВС являются: · системы высокой надежности ;

SMP-архитектура
SMP (symmetric multiprocessing) – симметричная многопроцессорная архитектура. Главной особенностью систем с архитектурой SMP является наличие общей физической памяти,

MPP-архитектура
MPP (massive parallel processing) – массивно-параллельная архитектура. Главная особенность такой архитектуры состоит в том, что память физически разделена. В этом случае сис

Гибридная архитектура NUMA
Главная особенность гибридной архитектуры NUMA (nonuniform memory access) – неоднородный доступ к памяти . Гибридная архитектура совмещает достоинства

Архитектура Многопоточных систем
используются для обеспечения единого интерфейса к ряду ресурсов, которые могут со временем произвольно наращиваться (или сокращаться). Типичным примером может служить группа web-серверов.

Конвейерные процессоры
Процессоры современных компьютеров используют особенную технологию – конвейеры, которые позволяют обрабатывать более одной команды одновременно. Обработка команды м

Матричные процессоры
Наиболее распространенными из систем класса один поток команд – множество потоков данных (SIMD) являются матричные системы, которые лучше всего приспособлены для решения задач, характеризующихся

Прерывания
Весьма важной частью ВС, обеспечивающей многопрограммность ее работы, является система прерывания программы. Система прерываний реализуется программно и аппаратно. Ее программные блоки в основном в

ЛЕКЦИЯ 13. КОМПЬЮТЕРНЫЕ СЕТИ.
Компьютерная сеть (вычислительная сеть, сеть передачи данных) — система связи компьютеров и/или компьютерного оборудования Компьютерные сети делятся на персональн

Топология локальных сетей
Под топологией (компоновкой, конфигурацией, структурой) компьютерной сети обычно понимается физическое расположение компьютеров сети друг относительно друга и способ соединения их линиями

Топология шина
· Шина (bus) — все компьютеры параллельно подключаются к одной линии связи. Информация от каждого компьютера одновременно передается всем остальным компьютерам (рис. 13.1).

Топология звезда
  · Звезда (star) — к одному центральному компьютеру присоединяются остальные периферийные компьютеры, причем каждый из них использует отдельную линию связи (рис. 13.2.). Инфор

Топология кольцо
· Кольцо (ring) — компьютеры последовательно объединены в кольцо. Передача информации в кольце всегда производится только в одном направлении. Каждый из компьютеров передает информацию только одном

Другие топологии
Кроме трех рассмотренных базовых топологий нередко применяется также сетевая топология дерево (tree), которую можно рассматривать как комбинацию нескольких звезд. Причем, как и в случае звезды, дер

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги