рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Створення нового документа (введення тексту програми)

Створення нового документа (введення тексту програми) - раздел Образование, Архітектура ЕОМ   1.3.1 Натисніть Кнопку Design Flow ...

 

1.3.1 Натисніть кнопку Design Flow панелі інструментів (комбінація клавіш <Alt+3>). Відкриється вікно управління проектом (рис. 1.7).

 

 

Рисунок 1.7 – Кнопка і вікно Design Flow. Управління проектом

 

1.3.2 Нам потрібен текстовий редактор. Для цього треба клацнути на піктограмі HDE. З'явиться вікно вибору мови опису апаратури (рис. 1.8). Виберіть VHDL.

 

 

Рисунок 1.8 – HDL Editor. Вибір шаблона опису апаратури

 

1.3.3 З'явиться вікно New Source File Wizard. Цей майстер автоматично генерує частину тексту програми на мові VHDL – опис портів в модулі entity. На першому кроці пропонується включити створюваний файл в поточний проект (Add generated file to design). Клацніть кнопку Next.

1.3.4 На другому кроці майстер пропонує дати імена VHDL–файлу, сутності і опису архітектури (architecture) проекту, що генерується (рис. 1.9). Текстовий файл тут названий Lab1, entity – demux_3, а опис архітектури має ім'я beh. Для продовження клацніть Next.

 

 

Рисунок 1.9 – New Source File Wizard. Привласнення імен

 

1.3.5 Третій крок найвідповідальніший. Тут задаються порти, їх типи і режими роботи. Демультіплексор DM(3) повинен мати один інформаційний вхід w, три входи керування X і вісім виходів У (рис. 1.10).

 

 

Рисунок 1.10 – New Source File Wizard. Завдання портів

 

1.3.6 Список портів редагується за допомогою кнопок New і Delete. Для створення нового порту натисніть кнопку New. В полі Name введіть його ім'я. Для вибору напрямку порту використовується група параметрів Port direction. Для вибору типу порту треба клацнути кнопку Type і відкрити вікно Port Type. По умовчанню задаються типи STD_LOGIS або STD_LOGIS_VECTOR.В мові VHDL є чотири режиму роботи портів: in– вхідний порт; out– вихідний порт; inout– двонаправлений порт; buffer– порт–буфер. Параметр Array Indexesвикористовується для введенню діапазонів масивів.Опис портівдемультиплексору DM(3) має такий вигляд:

x: in STD_LOGIS_VECTOR (0 to 2);

w: in STD_LOGIS;

у: out STD_LOGIS_VECTOR (0 to 7);

 

1.3.7 На цьому робота з майстром шаблонів VHDL–програм New Source File Wizard закінчується. Клацніть Done. Буде створений шаблон VHDL–програми – моделі демультиплексора, в каталозі проекту з'явиться новий файл Lab1.vhd і відкриється вікно текстового редактора HDE.

 

Листинг 1.1 – Текст шаблона програми на мові VHDL

library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity demux_3 is

port(

x : in BIT_VECTOR(0 to 3);

w : in BIT;

у : out BIT_VECTOR(0 to 15)

);

end demux_3;

architecture beh of demux_3 is

– Конец работы –

Эта тема принадлежит разделу:

Архітектура ЕОМ

Запорізький національний технічний університет... МЕТОДИЧНІ ВКАЗІВКИ до лабораторних робіт з дисципліни Архітектура ЕОМ...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Створення нового документа (введення тексту програми)

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Частина 2
    Методичні вказівки до лабораторних робіт з дисципліни “Архітектура ЕОМ” для студентів

Створення нового проекту
1.2.1 Клацнувши на піктограмі на Робочому Столі Windows, запустіть середу Active–HDL 6.1. 1.2.2 На панелі інструментів Active–HDL клацніть піктограму New Workspace (створити нове ро

Моделювання
Для того, щоб перевірити, чи правильно працює синтезований засобами САПР Active–HDL опис поведінки демультиплексора DM(3), виконаємо функціональне моделювання. Перш, ніж приступити до вери

Порядок виконання роботи
  1. Проект Lab1. Створіть і виконайте моделювання моделі demux_3. Для опису поведінки демультиплексора DM(3) використовуйте текст програми, наведений в листингу 1.2. Те

МОВА ПРОГРАМУВАННЯ VHDL
ЛАБОРАТОРНА РОБОТА № 7   Мета роботи. Ознайомитись з мовою VHDL і засобами редагування логічних схем САПР Active-HDL. 2.1 Теоретична довідка

Порядок виконання роботи
  1. Скласти опис схеми (рис. 2.1) на мові VHDL трьома типами опису: структурному, потоковому та поведінковому. 2. Провести компіляцію написаних програм та їх моделювання.

Дешифратор адреси плати PCL-711B
  Плата аналогового і дискретного уведення-виведення PCL-711B (Advantech Co.) в просторі адрес портів уведення-виведення IBM PC-сумісного комп'ютера займає діапазон з 16 послідовно ро

Приклад виконання роботи
  Суматор SM(n) складається з n повних суматорів. Повний суматор має три входи (A – перший доданок, B – другий доданок, C – вхід переносу). На входи

Створення проекту
  3.8.1.1 Запустіть систему проектування і моделювання ЦС Aldec Active-HDL. 3.8.1.2 Створіть нове робоче середовище. Для цього можна використати команду головного меню Fil

Створення компоненту
  3.8.2.1 Натисніть кнопку View Design Browser . З'явиться вікно Design Browser, що наведено на рис. 3

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги