рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Моделювання

Моделювання - раздел Образование, Архітектура ЕОМ Для Того, Щоб Перевірити, Чи Правильно Працює Синтезований Засобами Сапр Acti...

Для того, щоб перевірити, чи правильно працює синтезований засобами САПР Active–HDL опис поведінки демультиплексора DM(3), виконаємо функціональне моделювання.

Перш, ніж приступити до верифікації VHDL–проекту, необхідно скласти тестову послідовністьвхідних сигналів w і x[0..3]. В найпростішому випадку тестова послідовність – це вектор, що містить всі можливі комбінації вхідних сигналів. Для демультиплексора DM(3) це 24=16 векторів [w, x0, x1, x2, x3].

1.5.1 Виберіть команду File ® New ®waveform або піктограму New waveform . З'явиться вікно редактора часових діаграм (рис. 1.14).

 

Рисунок 1.14 – Кнопка New waveform

 

1.5.2 Додамо в редактор часових діаграм сигнали, що визначені в моделі demux_4. Клацніть правою кнопкою в лівій частині вікна редактора. В контекстному меню виберіть команду Add Signals. З'явиться вікно Add Signals (рис. 1.15).

 

 

Рисунок 1.15 – Вікно Add Signals

 

1.5.3 Вибираючи по черзі сигнали w, x, у і клацаючи на кнопці Add, додайте ці сигнали у вікно редактора часових діаграм.

1.5.4 В головному меню Active–HDL виберіть команду Simulation ® Initialize Simulation.

1.5.5 Тепер можна задати стимулятори моделювання. Щоб створити стимулятор треба виділити потрібний сигнал в редакторі часових діаграм, клацнути правою кнопкою і в контекстному меню вибрати команду Stimulators (рис. 1.16) .

 

 

Рисунок 1.16 – Команди Stimulators и Add Signals

 


Є декілька типів стимуляторів:

 

Значення (Value). Дозволяє вибрати значення, що буде надане виділеному сигналу чи групі сигналів, об'єднаних у шину.
Формула (Formula). Дозволяє задати часову діаграму за допомогою формули. Наприклад формула 0 0, 1 12 означає, що сигнал має значення '0' у момент часу 0 i змінюється на '1' після 12 пiкосекунд.
Гаряча клавіша (Hotkey). Дозволяє призначити сигналові гарячу клавішу, натискання якої призводитиме до зміни значення сигналу. За замовчуванням сигнал переключатиметься між 0 i 1, проте у цей список можна додати i iншi допустимі для даного типу сигналу значення. При натисканні гарячої клавіші сигнал набуватиме наступного значення, включеного у список.
Годинник (Clock). Дозволяє задати періодичний сигнал з визначеними параметрами (частотою, періодом тощо), користуючись зручним графічним редактором.
Лічильник (Counter). Дозволяє моделювати сигнали на виходах лічильника як послідовність чисел в заданому напрямку лічби i в заданому базисі (двiйковий код, код Грея та iн.).
Наперед визначений (Predefined). Це стимулятори синхросигналів або формульні, які мають власні імена, що дозволяє назначати їх декільком сигналам, не повторюючи кожен раз вводу параметрів.
Стимулятор, що настроюється користувачем(Custom). Дозволяє вибрати наперед визначений стимулюючий сигнал з заданого переліку та поповнити цей перелік власними сигналами, що часто використовуються

 

1.5.6 Задамо стимулятор для сигналу w. Виберіть тип стимулятора Hotkey (рис. 1.17). Встановіть курсор в полі Press new hotkey і натисніть клавішу <w> клавіатури. Її будемо використовувати для перемикання рівня сигналу w.

 

 

Рисунок 1.17 – Вікно Stimulators. Тип стимулятора – Hotkey

 

1.5.7 Задамо стимулятор для вектору сигналів x[0..2]. Для перебору всіх комбінацій вектора сигналів зручно використовувати стимулятор типу Counter. Виділіть вектор x і встановіть тип стимулятора Counter (рис. 1.18).

 

 

Рисунок 1.18 – Вікно Stimulators. Тип стимулятора – Counter

 

1.5.8 Встановіть настройки лічильника відповідно до табл. 1.4 (рис. 1.18). Для того, щоб рахунок проводився в зворотному порядку, треба встановити прапорець Reverse bits order.

1.5.9 Перевіримо, як веде себе модель demux_3, якщо сигнал w має високий логічний рівень. Натисніть клавішу <w> ("гарячу" клавішу, що зв‘язана з сигналом w). Натискуючи клавішу <F5> вісім разів, виконайте покрокове моделювання роботи демультиплексора для першої половини тестової послідовності (коли сигнал w='1').

 

Таблиця 1.4 – Настройки стимулятора для вектора x[0..2]

 

Настройка Значення
Count type Тип лічильника Binary
Count direction Напрям рахунку Up
Starting value Початкове значення
Modify Величина зміни
Use radix Підстава Hexadecimal
Count every Період рахунку 100 ns

 

1.5.10 Знову натисніть клавішу <w> і виконайте покрокове моделювання демультиплексора для другої половини тестової послідовності (коли сигнал w='0').

1.5.11 Вибравши команду головного меню Simulation ® End Simulation, зупиніть процес моделювання. На рис. 1.19 показаний результат функціонального моделювання правильно працюючого демультиплексора DM(3).

 

 

Рисунок 1.19 – Функціональне моделювання демультиплексора DM(3)

 

– Конец работы –

Эта тема принадлежит разделу:

Архітектура ЕОМ

Запорізький національний технічний університет... МЕТОДИЧНІ ВКАЗІВКИ до лабораторних робіт з дисципліни Архітектура ЕОМ...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Моделювання

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Частина 2
    Методичні вказівки до лабораторних робіт з дисципліни “Архітектура ЕОМ” для студентів

Створення нового проекту
1.2.1 Клацнувши на піктограмі на Робочому Столі Windows, запустіть середу Active–HDL 6.1. 1.2.2 На панелі інструментів Active–HDL клацніть піктограму New Workspace (створити нове ро

Створення нового документа (введення тексту програми)
  1.3.1 Натисніть кнопку Design Flow панелі інструментів (комбінація клавіш <Alt+3>). Відкриється вікно управлінн

Порядок виконання роботи
  1. Проект Lab1. Створіть і виконайте моделювання моделі demux_3. Для опису поведінки демультиплексора DM(3) використовуйте текст програми, наведений в листингу 1.2. Те

МОВА ПРОГРАМУВАННЯ VHDL
ЛАБОРАТОРНА РОБОТА № 7   Мета роботи. Ознайомитись з мовою VHDL і засобами редагування логічних схем САПР Active-HDL. 2.1 Теоретична довідка

Порядок виконання роботи
  1. Скласти опис схеми (рис. 2.1) на мові VHDL трьома типами опису: структурному, потоковому та поведінковому. 2. Провести компіляцію написаних програм та їх моделювання.

Дешифратор адреси плати PCL-711B
  Плата аналогового і дискретного уведення-виведення PCL-711B (Advantech Co.) в просторі адрес портів уведення-виведення IBM PC-сумісного комп'ютера займає діапазон з 16 послідовно ро

Приклад виконання роботи
  Суматор SM(n) складається з n повних суматорів. Повний суматор має три входи (A – перший доданок, B – другий доданок, C – вхід переносу). На входи

Створення проекту
  3.8.1.1 Запустіть систему проектування і моделювання ЦС Aldec Active-HDL. 3.8.1.2 Створіть нове робоче середовище. Для цього можна використати команду головного меню Fil

Створення компоненту
  3.8.2.1 Натисніть кнопку View Design Browser . З'явиться вікно Design Browser, що наведено на рис. 3

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги