рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Порядок виконання роботи

Порядок виконання роботи - раздел Образование, Архітектура ЕОМ   1. Проект Lab1. Створіть І Виконайте Моделювання Модел...

 

1. Проект Lab1. Створіть і виконайте моделювання моделі demux_3. Для опису поведінки демультиплексора DM(3) використовуйте текст програми, наведений в листингу 1.2. Текст програми та результати моделювання використовуйте для складання звіту.

2. Проект Lab2. Створіть новий проект і виконайте моделювання моделі demux_3, для опису якої використовується текст програми, наведений в листингу 1.3. Текст програми та результати моделювання використовуйте для складання звіту.

3. Порівняйте результати моделювання і зробіть висновки.

Під час моделювання можна помітити, що сигнали перемикаються неодночасно. Цей ефект називається гонкою сигналів. Редактор часових діаграм має необхідні інструменти для докладного вивчення часових діаграм. Наприклад, кнопкою Zoom in можна збільшити масштаб відображення, а натискання кнопки Measurement Mode , вмикає режим вимірювання часових інтервалів. На рис. 1.20 показаний приклад вимірювання часу встановлення сигналу.

 

 

Рисунок 1.20 – Вимірювання часових інтервалів

 

4. Визначте значення максимальної затримки переключення сигналів вектору y[0..7].

5. (*) Самостійно допрацюйте текст програми проекту Lab1, так, щоб замість оператору process використовувалися оператори wait, що реагують на зміну рівня сигналів w і x[0..2]. Для отримання необхідної довідкової інформації використовуйте довідкову систему САПР Active-HDL.

 

1.7 Зміст звіту

 

1. Мета роботи.

2. Таблиця дійсності та логічна схема демультиплексора DM(3).

3. Тексти програм.

4. Результати моделювання.

5. Результати вимірювання часових інтервалів.

6. Висновки.

 

1.8 Контрольні запитання

1. Організація VHDL-проекту цифрової системи. Вбудовані стандартні пакети Active-HDL.

2. Мови опису апаратури. Який зв'язок між ними і мовами програмування високого рівня загального користування.

3. Системи автоматизації проектування радіоелектронних пристроїв. Місце САПР Active–HDL серед інших САПР розробки ЦС.

4. Організація САПР Active–HDL. Основні компоненти Active–HDL і їх призначення.

5. Шість рівнів деталізації опису цифрової системи. Ієрархічна організація опису ЦС.

6. Організація VHDL–проекту цифрової системи в САПР Active–HDL. Вбудовані стандартні пакети Active–HDL.

7. Текстовий редактор HDE САПР Active–HDL. Функціональне призначення і основні елементи управління.

8. Використовування бібліотек і пакетів в мові VHDL.

9. З яких частин складається опис об'єкту проектування? Наведіть приклади.

10. Як проводиться компіляція та моделювання проекту?

11. Що таке тестова послідовність? Як задаються тестові набори вхідних сигналів? Наведіть приклади.

12. Типи стимуляторів. Як вони задаються?


– Конец работы –

Эта тема принадлежит разделу:

Архітектура ЕОМ

Запорізький національний технічний університет... МЕТОДИЧНІ ВКАЗІВКИ до лабораторних робіт з дисципліни Архітектура ЕОМ...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Порядок виконання роботи

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Частина 2
    Методичні вказівки до лабораторних робіт з дисципліни “Архітектура ЕОМ” для студентів

Створення нового проекту
1.2.1 Клацнувши на піктограмі на Робочому Столі Windows, запустіть середу Active–HDL 6.1. 1.2.2 На панелі інструментів Active–HDL клацніть піктограму New Workspace (створити нове ро

Створення нового документа (введення тексту програми)
  1.3.1 Натисніть кнопку Design Flow панелі інструментів (комбінація клавіш <Alt+3>). Відкриється вікно управлінн

Моделювання
Для того, щоб перевірити, чи правильно працює синтезований засобами САПР Active–HDL опис поведінки демультиплексора DM(3), виконаємо функціональне моделювання. Перш, ніж приступити до вери

МОВА ПРОГРАМУВАННЯ VHDL
ЛАБОРАТОРНА РОБОТА № 7   Мета роботи. Ознайомитись з мовою VHDL і засобами редагування логічних схем САПР Active-HDL. 2.1 Теоретична довідка

Порядок виконання роботи
  1. Скласти опис схеми (рис. 2.1) на мові VHDL трьома типами опису: структурному, потоковому та поведінковому. 2. Провести компіляцію написаних програм та їх моделювання.

Дешифратор адреси плати PCL-711B
  Плата аналогового і дискретного уведення-виведення PCL-711B (Advantech Co.) в просторі адрес портів уведення-виведення IBM PC-сумісного комп'ютера займає діапазон з 16 послідовно ро

Приклад виконання роботи
  Суматор SM(n) складається з n повних суматорів. Повний суматор має три входи (A – перший доданок, B – другий доданок, C – вхід переносу). На входи

Створення проекту
  3.8.1.1 Запустіть систему проектування і моделювання ЦС Aldec Active-HDL. 3.8.1.2 Створіть нове робоче середовище. Для цього можна використати команду головного меню Fil

Створення компоненту
  3.8.2.1 Натисніть кнопку View Design Browser . З'явиться вікно Design Browser, що наведено на рис. 3

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги