рефераты конспекты курсовые дипломные лекции шпоры

Реферат Курсовая Конспект

Побудова граф-алгоритму ШПФ з основою 2 наведена в попередніх розділах.

Побудова граф-алгоритму ШПФ з основою 2 наведена в попередніх розділах. - раздел Связь, Тема 1: Вступ до дисципліни Проектування цифрової обробки сигналів та зображень. Основні поняття та визначення 1. Області застосування та основні задачі цифрової обробки сигналів При Апаратній Реалізації Графу Шпф Виникають Незручності Через Неспівпадіння ...

При апаратній реалізації графу ШПФ виникають незручності через неспівпадіння адрес комірок пам'яті з яких потрібно вичитувати елементи на кожному ярусі. Тому на рис.6.2. наведений граф, де для кожної базової операції на будь-якому ярусі дані беруться і записуються за тими самими адресами що і на попередньому ярусі (з заміщенням).

Рис.6.2. Модифікований граф 16-ти точкового ШПФ за основою 2 з прорідженням за частотою.

Спрощена проекція потокового графу ШПФ на вертикальну площину показана на рис. 6.3

 
 

 
 

Рис. 6.3. Спрощена проекція графу на вертикальну площину.

де використані такі позначення:

- Memory array – масив елементів пам'яті для зберігання проміжних результатів обробки;

- MUX – вхідні мультиплексори для вибору вхідних даних на першому циклі, та проміжних даних не решті циклах роботи пристрою;

- F1,F2,…,F8 – вузли обробки (двохточкові ШПФ)

На рис. 6.3 не наведені повертаючі множники та виходи пристрою (ілюструється лише потік даних при обробці).

Формат вхідних та вихідних даних

Вхідні та вихідні дані є комплексними числами виду А=ar+jbi, де аr – дійсна частина, bi – уявна частина, j- уявна одиниця. Для їх кодування використовуються 32 розряди, причому 16 старших розрядів для кодування дійсної частини, 16 молодших для кодування уявної частини.

Рис. 6.4. Формат вхідних та вихідних даних

Дійсна і уявна частина є знаковими числами з фіксованою комою. Розряди 31 та 15 використовуються для кодування знаків, 30-24 та 14-8 для кодування цілих частин, 23-16 та 7-0 для кодування дробових частин дійсної та уявної складових числа відповідно до рис. 7.

Інтерфейс пристрою

Пристрій має 16 паралельних вхідних портів для прийому даних та два вхідні порти для керуючих сигналів – це сигнали RST (Reset – початковий скид) та CLK(Clock – синхронізація). Результати обробки вхідних даних видаються по 16-х паралельних вихідних портах. Всі порти, крім RST i CLK є 32-х розрядні.

 

Розробка структурної схеми процесора

Структура процесора наведена на рис. 6,5. Дані надходять по 16-ти паралельних портах і проходячи через мультиплексори записуються у відповідні регістри. Мультиплексорами управляє керуючий автомат (Control Unit) по лінії SEL. При SEL = 0, в регістри записуються вхідні дані, при SEL = 1 – проміжні дані. Далі дані з регістрів поступають на входи двохточкових ШПФ та на наступному циклі знову записуються до відповідних регістрів. На останньому циклі, керуючий автомат генерує сигнал oen, за яким дані записуються в регістри та поступають на вихідні порти у біт-інверсному порядку.

В ПЗП (ROM) зберігаються повертаючі множники, що є константами і подаються на двохточкові ШПФ через комутуючу мережу. Роботою комутуючої мережі (Comunication Network) управляє керуючий пристрій по лініях DC[3:0].

Далі детальніше розглянемо кожний з основних вузлів, на базі яких побудована структура процесора.

Двохточкові ШПФ (fft0 – fft7)

Вузол для обчислення двохточкового ШПФ наведений на рис. 9. На входи пристрою поступають два 32-х розрядних числа А і В та два 16-ти розрядних числа Wr i Wi. На виході отримуємо два 32-х розрядних числа X=A+B i Y=(A-B)WN.

Рис. 6.7 Внутрішня структура двохточкового ШПФ

Комутаційна мережа (Comunication Network)

Комутаційна мережа використовується для комутації двохточкового ШПФ з потрібним номером ПЗП у відповідні моменти часу (на відповідних робочих циклах пристрою). Вона складається із набору з’єднаних буферів, якими управляє керуючий автомат по лініях DC[3:0]. Встановлюючи потрібні значення сигналів на лініях керування він дозволяє або забороняє видачу даних на виході кожного з буферів. В момент часу на операційний пристрій можуть поступати дані тільки з одного буфера. На рис. 6.8. зображено підключення одного з операційних пристроїв до ПЗП.

 



 

Рис. 6.5. Структурна схема процесора обчислення 16-ти точкового ШПФ за основою 2


 

Рис. 6.8. Підключення двохточкового ШПФ до ПЗП через комутаційну мережу.

Вибір кількості і об’єму ПЗП

Для вибору кількості і об’єму ПЗП необхідно провести аналіз кількості і значень повертаючих множників.

Для алгоритму 16-ти точкового ШПФ за основою 2 необхідно зберігати в пам’яті 8 значень повертаючих множників WN. WN = wr + jwi є комплексним числом, та має косинусну складову wr i синусну складову wi. На рис.11 графічно показані значення функцій cos(t) та sin(t).

Рис. 6.9. Значення функцій cos i sin на періоді 2рі.

З рис. 6.9 видно, що деякі з коефіцієнтів співпадають за значенням між собою, а саме: Wr0 = Wi4, Wr1 = Wi3 = Wi5, Wr2 = Wi2 = Wi6, Wr3 = Wi1 = Wi7, Wr4 = Wi0. Залишаються Wr5, Wr6, Wr7. Провівши такий аналіз приходимо до висновку – необхідно 8 16-ти розрядних ПЗП об'ємом в 1 комірку.

Керуючий автомат (Control Unit)

Керуючий автомат здійснює управління роботою процесора. Отримуючи сигнали RST i CLK він формує всі необхідні сигнали управління, а саме:

- SEL – для управління роботою мультиплексорів;

- DC[3:0] – чотирьохрозрядний сигнал для управління комутаційною мережею;

- OEN – сигнал дозволу видачі вихідних даних

На рис. 6.10 зображено граф керуючого автомату.

При поступленні сигналу RST = 1 керуючий автомат переходить в початковий стан INIT. При встановлені RST в нуль автомат переходить з початкового стану в стан S0, далі в S1, і так далі як зображено на рис. 12. З стану S5 автомат повертається в стан S0. Перехід із стану в стан здійснюється по зростаючому фронту сигналу CLK. На відповідних станах керуючий автомат формує необхідні керуючі сигнали у відповідності до рис. 12.

Рис. 6.10 Граф керуючого автомату.

На рис.6.11 наведена симуляційна часова діаграма функціонування керуючого автомату.

 
 

Рис.6.11. Симуляційна часова діаграма функціонування керуючого автомату.

Тестування пристрою

Для тестування пристрою формується тестова послідовність такого типу:

Моделюються сигнали Acos(kt) i Asin(kt). Далі беруться значення цих сигналів у відповідних точках: ділянка на якій розглядається сигнал розбивається на 16 відліків і в кожній точці отримуються необхідні для проведення тестів значення. Значення отримані в результаті обчислення Acos(kt) є дійсними складовими вхідних даних, а Asin(kt) – уявними.

Для побудови даної тестової послідовності була розроблена програма для обчислення виразів sin(kt) i cos(kt) при 1£ k £ 16. Програма складається з процедури переводу числа з десяткової системи числення в двійкову та тіла програми де в циклі обчислюються значення sin(kt) i cos(kt), які передаються як параметри процедурі для переведення в двійкову систему

Лістинг програми:

Program Garmonik_Form;

uses CRT;

type

form = array [0..15] of byte;

const p : byte = 180;

var

k,i,j : byte;

y,x,dx,z,t,dg,g,gr : real;

Real_,Image:form;

procedure DEC2HEX (dec:real;var A:form);

var zila,drob,sum:real;

begin

for i:= 15 downto 0 do

A[i] := 0;

if dec >= 0 then A[15] := 0 else A[15] := 1;

zila := abs(int(dec));

drob := abs(frac(dec));

if drob = 0 then if zila = 1 then A[8] := 1

else A[15] := 0

else begin

sum := drob;

for i:=7 downto 0 do begin

sum := sum*2;

if sum >= 1 then begin

A[i] := 1;

sum:=sum-1

end

end

end

end;

begin

clrscr;

k := 15;

dx := Pi/8;

dg := p/8;

while k <= 15 do begin

x := 0;

g:= 0;

while x < 2*Pi do begin

t := x*k;

gr := g*k;

y := cos(t);

DEC2HEX(y,Real_);

z := sin(t);

DEC2HEX(z,Image);

write(gr:3:1,' y= ',y:3:5,' z= ',z:3:5,' ');

for i:=15 downto 0 do

write(Real_[i]);

write(' ');

for i:=15 downto 0 do

write(Image[i]);

writeln;

x := x + dx;

g := (g + dg);

end;

inc(k)

end;

readln

end.

За результатами отриманими в результаті виконання даної програми будується набір тестових послідовностей та формується script – файл для тестування пристрою засобами пакету Active HDL. Нижче наведений текст скрипт-файлу:

acom $DSN/src/ButterFly.vhd

acom $DSN/src/OperationUnit.vhd

asim fft fft

force IN0 16#01000000 150ns, 16#00EC0061 650ns, 16#00B500B5 1150ns, 16#006100EC 1650ns, 16#00000100 2150ns,16#806100EC 2150ns, 16#80B500B5 2650ns, 16#80EC0061 3150ns, 16#81000000 3650ns, 16#80EC8061 4150ns, 16#80B580B5 4650ns, 16#806180EC 5150ns, 16#00008100 5650ns, 16#006180EC 6150ns, 16#00B580B5 6650ns, 16#00EC8061 7150ns, 16#01000000 7650ns

force IN1 16#01000000 150ns, 16#00B500B5 650ns, 16#00000100 1150ns, 16#80B500B5 1650ns, 16#81000000 2150ns,16#80B580B5 2150ns, 16#00008100 2650ns, 16#00B500B5 3150ns, 16#01000000 3650ns, 16#00B500B5 4150ns, 16#00000100 4650ns, 16#80B500B5 5150ns, 16#81000000 5650ns, 16#80B580B5 6150ns, 16#00008100 6650ns, 16#00B580B5 7150ns, 16#01000000 7650ns

force IN2 16#01000000 150ns, 16#006100EC 650ns, 16#80B500B5 1150ns, 16#80EC8061 1650ns, 16#00008100 2150ns,16#00EC8061 2150ns, 16#00B500B5 2650ns, 16#806100EC 3150ns, 16#81000000 3650ns, 16#806180EC 4150ns, 16#00B580B5 4650ns, 16#00EC0061 5150ns, 16#00000100 5650ns, 16#80EC0061 6150ns, 16#80B580B5 6650ns, 16#006180EC 7150ns, 16#01000000 7650ns

force IN3 16#01000000 150ns, 16#00000100 650ns, 16#81000000 1150ns, 16#00008100 1650ns, 16#01000000 2150ns,16#00000100 2150ns, 16#81000000 2650ns, 16#00008100 3150ns, 16#01000000 3650ns, 16#00000100 4150ns, 16#81000000 4650ns, 16#00008100 5150ns, 16#01000000 5650ns, 16#00000100 6150ns, 16#81000000 6650ns, 16#00008100 7150ns, 16#01000000 7650ns

force IN4 16#01000000 150ns, 16#806100EC 650ns, 16#80B580B5 1150ns, 16#00EC8061 1650ns, 16#00000100 2150ns,16#80EC8061 2150ns, 16#00B580B5 2650ns, 16#006180EC 3150ns, 16#81000000 3650ns, 16#006180EC 4150ns, 16#00B500B5 4650ns, 16#80EC0061 5150ns, 16#00008100 5650ns, 16#00EC0061 6150ns, 16#80B500B5 6650ns, 16#806180EC 7150ns, 16#01000000 7650ns

force IN5 16#01000000 150ns, 16#80B500B5 650ns, 16#00008100 1150ns, 16#00B500B5 1650ns, 16#81000000 2150ns,16#00B580B5 2150ns, 16#00000100 2650ns, 16#80B580B5 3150ns, 16#01000000 3650ns, 16#80B500B5 4150ns, 16#00008100 4650ns, 16#00B500B5 5150ns, 16#81000000 5650ns, 16#00B580B5 6150ns, 16#00000100 6650ns, 16#80B580B5 7150ns, 16#01000000 7650ns

force IN6 16#01000000 150ns, 16#80EC0061 650ns, 16#00B580B5 1150ns, 16#806100EC 1650ns, 16#00008100 2150ns,16#006100EC 2150ns, 16#80B580B5 2650ns, 16#00EC0061 3150ns, 16#81000000 3650ns, 16#00EC8061 4150ns, 16#80B500B5 4650ns, 16#006180EC 5150ns, 16#00000100 5650ns, 16#806180EC 6150ns, 16#00B500B5 6650ns, 16#80EC8061 7150ns, 16#01000000 7650ns

force IN7 16#01000000 150ns, 16#81000000 650ns, 16#01000000 1150ns, 16#81000000 1650ns, 16#01000000 2150ns,16#81000000 2150ns, 16#01000000 2650ns, 16#81000000 3150ns, 16#01000000 3650ns, 16#81000000 4150ns, 16#01000000 4650ns, 16#81000000 5150ns, 16#01000000 5650ns, 16#81000000 6150ns, 16#01000000 6650ns, 16#81000000 7150ns, 16#01000000 7650ns

force IN8 16#01000000 150ns, 16#80EC8061 650ns, 16#00B500B5 1150ns, 16#806180EC 1650ns, 16#00000100 2150ns,16#006180EC 2150ns, 16#80B500B5 2650ns, 16#00EC8061 3150ns, 16#81000000 3650ns, 16#00EC0061 4150ns, 16#80B580B5 4650ns, 16#006100EC 5150ns, 16#00008100 5650ns, 16#806100EC 6150ns, 16#00B580B5 6650ns, 16#80EC0061 7150ns, 16#01000000 7650ns

force IN9 16#01000000 150ns, 16#80B580B5 650ns, 16#00000100 1150ns, 16#00B580B5 1650ns, 16#81000000 2150ns,16#00B500B5 2150ns, 16#00008100 2650ns, 16#80B500B5 3150ns, 16#01000000 3650ns, 16#80B580B5 4150ns, 16#00000100 4650ns, 16#00B580B5 5150ns, 16#81000000 5650ns, 16#00B500B5 6150ns, 16#00008100 6650ns, 16#80B500B5 7150ns, 16#01000000 7650ns

force IN10 16#01000000 150ns, 16#806180EC 650ns, 16#80B500B5 1150ns, 16#00EC0061 1650ns, 16#00008100 2150ns,16#80EC0061 2150ns, 16#00B500B5 2650ns, 16#006180EC 3150ns, 16#81000000 3650ns, 16#006100EC 4150ns, 16#00B580B5 4650ns, 16#80EC8061 5150ns, 16#00000100 5650ns, 16#00EC8061 6150ns, 16#80B580B5 6650ns, 16#806100EC 7150ns, 16#01000000 7650ns

force IN11 16#01000000 150ns, 16#00008100 650ns, 16#81000000 1150ns, 16#00000100 1650ns, 16#01000000 2150ns,16#00008100 2150ns, 16#81000000 2650ns, 16#00000100 3150ns, 16#01000000 3650ns, 16#00008100 4150ns, 16#81000000 4650ns, 16#00000100 5150ns, 16#01000000 5650ns, 16#00008100 6150ns, 16#81000000 6650ns, 16#00000100 7150ns, 16#01000000 7650ns

force IN12 16#01000000 150ns, 16#006180EC 650ns, 16#80B580B5 1150ns, 16#80EC0061 1650ns, 16#00000100 2150ns,16#00EC0061 2150ns, 16#00B580B5 2650ns, 16#806180EC 3150ns, 16#81000000 3650ns, 16#806100EC 4150ns, 16#00B500B5 4650ns, 16#00EC8061 5150ns, 16#00008100 5650ns, 16#80EC8061 6150ns, 16#80B500B5 6650ns, 16#006100EC 7150ns, 16#01000000 7650ns

force IN13 16#01000000 150ns, 16#00B580B5 650ns, 16#00008100 1150ns, 16#80B580B5 1650ns, 16#81000000 2150ns,16#80B500B5 2150ns, 16#00000100 2650ns, 16#00B500B5 3150ns, 16#01000000 3650ns, 16#00B580B5 4150ns, 16#00008100 4650ns, 16#80B580B5 5150ns, 16#81000000 5650ns, 16#80B500B5 6150ns, 16#00000100 6650ns, 16#00B500B5 7150ns, 16#01000000 7650ns

force IN14 16#01000000 150ns, 16#00EC8061 650ns, 16#00B580B5 1150ns, 16#006180EC 1650ns, 16#00008100 2150ns,16#806180EC 2150ns, 16#80B580B5 2650ns, 16#80EC8061 3150ns, 16#81000000 3650ns, 16#80EC0061 4150ns, 16#80B500B5 4650ns, 16#806100EC 5150ns, 16#00000100 5650ns, 16#006100EC 6150ns, 16#00B500B5 6650ns, 16#00EC0061 7150ns, 16#01000000 7650ns

force IN15 16#01000000 150ns, 16#01000000 650ns, 16#01000000 1150ns, 16#01000000 1650ns, 16#01000000 2150ns,16#01000000 2150ns, 16#01000000 2650ns, 16#01000000 3150ns, 16#01000000 3650ns, 16#01000000 4150ns, 16#01000000 4650ns, 16#01000000 5150ns, 16#01000000 5650ns, 16#01000000 6150ns, 16#01000000 6650ns, 16#01000000 7150ns, 16#01000000 7650ns

 

force CLK 0 0, 1 50ns, -r 100ns

force RST 1 0, 0 110ns

run 8000ns

 

 

– Конец работы –

Эта тема принадлежит разделу:

Тема 1: Вступ до дисципліни Проектування цифрової обробки сигналів та зображень. Основні поняття та визначення 1. Області застосування та основні задачі цифрової обробки сигналів

Тема Вступ до дисципліни Проектування цифрової обробки сигналів та зображень Основні поняття та...

Если Вам нужно дополнительный материал на эту тему, или Вы не нашли то, что искали, рекомендуем воспользоваться поиском по нашей базе работ: Побудова граф-алгоритму ШПФ з основою 2 наведена в попередніх розділах.

Что будем делать с полученным материалом:

Если этот материал оказался полезным ля Вас, Вы можете сохранить его на свою страничку в социальных сетях:

Все темы данного раздела:

Основні поняття та визначення. Основні характеристики сигналів
Сигнал (в теории информации и связи) — материальный носитель информации, используемый для передачи сообщений в системе связи. Сигналом может быть люб

Природа сигналів. За своєю природою, сигнали можуть бути випадкові або детерміновані.
До детермінованих відносять сигнали, значення яких у будь-який момент часу або в довільній точці простору є апріорно відомими або можуть бути досить точно визначені (обчислені) за відомою чи передб

Аналогові та цифрові сигнали.
До основних типів відносять аналоговий, дискретний і цифровий сигнали. Аналоговим називають сигнал, неперервний у часі і значеннях. Такий сигнал описується неперервною або кусочно н

Основні типи сигналів
Фінітні сигнали. Фінітним називається сигнал, який визначений лише на деякому часовому проміжку і не існує поза ним, тобто при t>T, амплітуда сигналу рівна нулю. Пер

Елементарні сигнали, що найчастіше використовуються ЦОС
Всі сигнали ми будемо розглядати в аналоговому та неперервному варіантах. 1. Неперервний випадок

Властивості спектрів дискретних сигналів
1. Неперервність. 2. Періодичність.. 3. Спектр дійсного сигналу. Якщо - д

Режим реального часу
Основними прикладними (інженерними, практичними) задачами обробки сигналів є: 1. Ідентифікація і розпізнавання. 2. Телекомунікації. 3. Обробка музичних і мо

Переваги і недоліки ЦОС
Перевагами ЦОС є: Гарантована точність Цілковита відтворюваність. Можна ідентично відтворити кожний елемент, оскільки відсутні відхилення, обумовлен

Основні операції цифрової обробки сигналів
Проте всі ці алгоритми, як правило - блокового типу, тобто побудовані на як завгодно складних комбінаціях досить невеликого набору типових цифрових операцій, до основного з яких відносяться: зго

Застосування ДПФ
На рис. 5.1 наведена схема взаємодії між часовою та частотною областями. Основними сферами застосування ДПФ є: - цифровий спектральний аналіз - аналізатори спектра, обробка мови,

ДПФ як згортка сигналу з базисними функціями
Оскільки комплексна експонента може бути представлена у виді дійсної та уявної частини (формула Ейлера), то основне рівняння ДПФ може бути записано таким чином:

Основні операції фільтрації
До основних операцій фільтрації інформації відносять: - згладжування; - прогнозування; - диференціювання; - інтегрування; - поділ на певні складові;

Класи і параметри фільтрів
Залежно від призначення фільтру, а отже і загального виду його частотної характеристики, виділяють такі основні, найбільш розповсюджені, типи фільтрів (вибіркові фільтри): -

Поняття про швидкі алгоритми
При побудові швидких алгоритмів використовують кілька основних прийомів. Серед них найголовнішими є : 1. Розбиття задачі на підзадачі. 2. Рекурсія - коли деякий метод чи прийом мо

Вправи і завдання до теми №1
1. Визначити період заданого сигналу: . Відповідь :

Зменшення частоти дискретизації: децимація із цілим кроком
На рис. 1.1, а наведена блок-схема процесу децимації сигналу х(n) із цілим кроком М. На ній зображені цифровий фільтр захисту від накладення спектрів h(k) і схема стиску (компресор) частоти дискрет

Збільшення частоти дискретизації: інтерполяція із цілим кроком
Інтерполяція - це цифровий еквівалент процесу цифроаналогового перетворення, коли із цифрових вибірок, поданих на цифроаналоговий перетворювач, за допомогою інтерполяції відновлюється аналоговий си

Перетворення частоти дискретизації з нецілим кроком
У деяких ситуаціях часто буває потрібно змінити частоту дискретизації в неціле число раз. Приклад - цифрове аудіо, де може вимагатися передача даних з одного запам'ятовувального пристрою на інше, п

Багатокаскадне перетворення частоти дискретизації
У п.1.3 зміна частоти дискретизації відбувалося відразу з використанням єдиного коефіцієнта децимації або інтерполяції. Якщо потрібне значна зміна частоти дискретизації, такий підхід неефективний;

Розробка практичних конвертерів частоти дискретизації
Розробку практичного багатокаскадного конвертера частоти дискретизації можна розбити на чотири етапи: Задати загальні вимоги до фільтрів захисту від накладення спектрів і придушення

Специфікація фільтру
Фактично продуктивність системи обробки при декількох швидкостях критично залежить від типу НІХ і якості використовуваного фільтра. Відзначимо, що при децимації й інтерполяції можуть викор

Високоякісне аналого-цифрове перетворення в цифровому аудіо
У сфері цифрового аудіо постійно потрібно підвищувати якість, дозвіл і швидкість АЦП. Це привело до розробки однобітових АЦП із використанням методів дельта-сігма-модуляції. У результаті з'явилася

Ефективне аналого-цифрове перетворення у високоякісних системах відтворення компакт-дисків
Одним з перших серйозних застосувань методів з обробкою при декількох швидкостях стало відтворення звуку й музики в програвачах компакт-дисків. На рис. 6.2 зображена схема відновлення анал

Особливості діагностики та контролю процесорів та систем опрацювання сигналів та зображень
Для контролю і діагностики вузлів опрацювання сигналів застосовуються різні сполучення відомих методів вбудованого і зовнішнього контролю ЕОМ, або методи діагностики складних систем, що базуються н

Рархічність засобів діагностики та контролю процесорів та систем опрацювання сигналів та зображень
Ієрархічність засобів діагностики відповідає ієрархічності обчислювальних засобів. Тому розглядається ієрархічність на рівні: систем, процесорів та окремих вузлів. Використовуються такі за

Процес формування АЧХ
Для обчислення АЧХ нерекурсивних ЦФ здебільшого застосовують метод передаточних функцій. Від передаточної функції, яка в загальному вигляді записується як многочлен виду: H(Z)= a

Визначення і дослідження виду АЧХ
Нехай задано проаналізувати АЧХ фільтра з такими параметрами сигналу: l = 0,1, ...,31; А = 1, 2,...,100; S = 8, 16; Q = -64...64; N = 0,1, …, 31. Згідно

Структура потокового (ковзаючого) процесора ШПФ.
6.Методика вибору оптимального складу НВІС Розглядаються передумови і методика однокристальної реалізації швидкого перетворення Фур'є на приладах програмувальної логіки фі

Використання ПЛІС для високопродуктивної цифрової обробки сигналів та зображень
Є ряд альтернативних рішень побудови високопродуктивних систем, зокрема на замовлених інтегральних схемах (ASІ) і спеціалізованих процесорах цифрової обробки сигналів (DSP). Розглядати питання реал

Таблиця 1. Основні характеристики ПЛІС Xіlіnx серій Vіrtex, Vіrtex-e, XC4000XL/XLAXV, Spartan/XL
Сімейство ПЛІС Системна частота, МГЦ Швидкодія, нс/вентиль Швидкість обміну chіp-to-chіp, МГЦ Ємність ПЛІС, системних вентилів

Таблиця 1. Основні характеристики ПЛІС Xіlіnx серій Vіrtex, Vіrtex-e, XC4000XL/XLAXV, Spartan/XL
Сімейство ПЛІС Системна частота, МГЦ Швидкодія, нс/вентиль Швидкість обміну chіp-to-chіp, МГЦ Ємність ПЛІС, системних вентилів

Оцінка продуктивності вузла виконання операцій ШПФ на ПЛІС.
Оцінимо необхідну продуктивність пристрою обробки. Для обчислення ШПФ 256 точок за основою 2 з комплексними вхідними даними потрібно приблизно 3 тис. множень дійсних операндів і 5,5 тис. додавань д

Таблиця 1. Характеристики М-модулів ШПФ на ПЛІС серії Vіrtex
Розмір перетворення Системних вентилів, тис. Частота надходження вхідних даних, Мгц-real-tіme Час перетворення, мкс

Структура потокового (ковзаючого) процесора ШПФ.
У загальному випадку при побудові М-модуля ШПФ можна піти декількома шляхами: або спроектувати модулі з малими займаними обсягами, великим часом перетворення і малою швидкістю надходження вхідних д

Таблиця 2. Характеристики М-модулів ковзного ШПФ на ПЛІС Xіlіnx
Число точок Тактова частота, МГц Час перетворення, мкс Об’єм модуля, логічних комірок Необхідна ПЛІС

Визначення нейрокомп’ютера.
Нейрокомпьютери - дуже модне слово, яке використовують направо і наліво. На початку 90-х років був дуже бурхливий розвиток даної тематики у вітчизняних розробках. Але разом з рядом серйозних розроб

Базова структура нейрокомп’ютера на основі ПОС.
Зупинимося на особливостях апаратної реалізації нейрообчислювача (НО) (див. рис.5) з можливістю паралельної обробки, що реалізують елементи нейромережі.

Порівняльні характеристики нейрокомп’ютерів на базі ПОС.
Для побудови НО (нейрообчислювач) перспективним є використання сигнальних процесорів із плаваючою крапкою ADSP2106x, TMS320C4x,8x, DSP96002 і ін. Типова структурна схема реалізації НО на основі сиг

Реалізація ШПФ на нейрокомп’ютері.
Розглянемо реалізацію ШПФ на базі процесора Л1879ВМ1(NM6403). Процесор Л1879ВМ1 - високопродуктивний спеціалізований мікропроцесор, що об’єднює в собі риси двох сучасних архітектур: VLIW (Very Long

Співпроцесора NM6403 при розбитті матриці співпроцесора NM6403 при розбитті матриці
вагових коефіцієнтів - (2х32біти)/(8х8біт) вагових коефіцієнтів - (2х32біти)/(2х32біти) По приведених двох варіантах розбивки матриці векторного помножувача виробляється п

Таблиця 2. Порівняльна характеристика точності відновленого сигналу після прямого і зворотного ШПФ із різними основами
Перетворення Фур'є Систематична похибка-M СКО -s 6 біт/1.0 7 біт /1.0 6 біт/1.0 7 біт /1.0

Таблиця 3. Продуктивність функцій прямого і зворотного ШПФ на процесорі NM6403
Кільк. комплекс. відліків Без нормалізації З однією нормалізацією З двома нормализациями Тактів

Аналіз задач і алгоритмів
До основних галузей, де використовується опрацювання сигналів та зображень відносяться: 1. Радіолокація (РЛ) — виявлення, фільтрація сигналу з режекцією завад та накопичення сигналу.

Особливості задач і алгоритмів.
Аналіз наведених задач і алгоритмів їх розв’язання показує, що вони мають такі особливості: - широкий динамічний і частотний діапазон сигналів, що обробляються; - велика інтенсивн

Особливості організації обчислювальних засобів
1.2.1. Методи аналізу обчислювальних засобів архітектур.Технічно системи керування та опрацювання інформації реалізуються як комплекс спеціалізованих і універсальних засобів обчисл

Основні положення алгоритму ШПФ
Визначення 1. Дано кінцеву послідовність x0, x1, x2,..., xN-1 (у загальному випадку комплексних чисел). ДПФ полягає в пошуку послідовності

Основні формули
Теореми, що пояснюють суть перетворення Фур’є (наведені без доведення). Теорема 1. Якщо комплексне число представлене у вигляді e j2πN, де N - ціле, то

Програмна реалізація основних елементів ШПФ
Алгоритм попередньої перестановки Розглянемо конкретну реалізацію ШПФ. Нехай є N=2T елементів послідовності x{N} і треба одержати послідовність

Fft.cpp
/* Fast Fourier Transformation ===================================================== */ #include "fft.h" // This array contains values from 0

Організація DSP- процесорів для задач опрацювання сигналів та зображень
Для опрацювання сигналів та зображень найчастіше використовуються DSP- процесори. Розглянемо підходи до їх реалізації на базі обчислення алгоритму ШПФ. В загальному випадку, вимоги по вико

Типова структура процесора опрацювання сигналів та зображень
На рис. 3.1 наведена спрощена система на базі процесора ADSP-2189M, що використовує повномасштабну модель пам'яті.

Нтерфейси DSP-процесорів
Ефективність роботи DSP- процесора в структурі системи залежить від організації каналів вводу-виводу. До складу сучасних DSP- процесорів (наприклад, ADSP-21ESP202) входять інтегровані АЦП/ЦАП, що з

Аналіз паралельного інтерфейсу з DSP-процесорами: читання даних з АЦП, що під’єднаний до адресного простору пам’яті
Підключення АЦП або ЦАП через паралельний інтерфейс до DSP-процесора вимагає розуміння специфіки процесів читання/запису даних DSP-процесором з/в периферійних пристроїв при їх під’єднані до

Аналіз паралельного інтерейсу з DSP-процесорами: запис даних в ЦАП, що під’єднаний до адресного простору пам’яті
Спрощена блок-схема інтерфейсу між DSP-процесором і наприклад ЦАП) наведена на рис. 4.4. Діаграми циклу запису в пам'ять для сімейства ADSP-21xx наведені на рис.6. В системах реального час

Аналіз послідовного інтерфейсу з DSP-процесорами
Наявність послідовного порту усуває необхідність використання паралельних шин для підключення АЦП і ЦАП до DSP-процесорів. Структурна схема одного з двох послідовних портів процесора сімей

Проектування процесора ШПФ на ПОС
Алгоритм ШПФ із проріджуванням за часом Нехай Розділимо послідовність x(n) на парні (ev

Аналіз (розробка) блок-схеми виконання алгоритму ШПФ на заданому типі процесора
Алгоритм базової операції ШПФ за основою 4 і проріджування за часом можна представити так: А'1 = А1 + A2W1 + A3W2 + A

Розрахунок основних параметрів
Частота роботи процесора: , звідси цикл виконання команди:

Привабливою рисою ПЛІС для реалізації алгоритмів ЦОС є наявність внутрішнього швидкодіючого розподіленого ОЗП, вбудованих вузлів обчислення ШПФ тощо.
На рис. 6.1 наведена структурна схема вузла реалізації алгоритм ШПФ на ПЛІС. Вхідне ОЗП використовується для завантаження вхідної послідовності, збереження результатів проміжних обчислень і виванта

Оцінка продуктивності вузла реалізації алгоритму ШПФ на ПЛІС
Швидкодія виконання алгоритму ШПФ на ПЛІС визначається в NMAC (кількість операцій типу множення-нагромадження) за такою формулою:

Реалізація алгоритмів опрацювання сигналів та зображень на нейропроцесорах
Нейрокомпьютер - це обчислювальна система з MSІMD архітектурою, тобто з паралельними потоками однакових команд і множинним потоком даних. На сьогодні можна виділити три основних напрямки розвитку о

ВЕКТОРНИЙ СПІВПРОЦЕСОР
Векторний співпроцесор - основний функціональний елемент Л1879ВМ1. Структурно він являє собою матрично-векторний операційний пристрій і набір регістрів різного призначення. Операційний при

Організація паралельних обчислень в алгоритмах ШПФ на процесорі NM6403
Значна частина задач аналізу часових рядів зв'язана з перетворенням Фур'є і методами його ефективного обчислення. У цих задачах перетворення Фур'є відіграє важливу роль як необхідний проміжний крок

Продуктивність і точність обчислень.
Точність обчислень визначається кількістю біт, що відводяться для представлення коефіцієнтівW. Є два способи представлення значень косинусів і синусів у 8 розрядній сітці: 1. W =round(64.0

Загальна характеристика функцій ШПФ.
Вхідні і вихідні дані - цілі 32р. комплексні числа, формат збереження наведений на рис.3 Діапазон вхідних даних зазначений у таблиці 3. Розрядність коефіцієнтів перетворення - 8 б

Стиск нерухомих зображень з використанням дискретних косинусних перетворень
Безвтратні методи стиску не забезпечують потрібного у багатьох випадках степеня стиску зображень. У цьому разі необхідно застосовувати методи стиску з втратою інформації. Одним із найбільш поширени

Стиск нерухомих зображень з використанням хвилькових перетворень
Поняття хвилькового перетворення Дискретне хвилькове перетворення (dyscrete wavelet transform (DWT)) принципово відрізняється від спектральних перетворень. На рис.8.3 показано стр

Стиск зображень з використанням методу кодування областей хвилькового перетворення
У цьому методі розглядаються області коефіцієнтів логарифмічного хвилькового перетворення зображення, які мають різні розміри. Ідея полягає в тому, щоб коефіцієнти в різних областях опрацьовувати (

Стиск зображень з використанням методу дерев нулів хвилькового перетворення
Хвильковий розклад зображення можемо мислити собі як просторову множину коефіцієнтів, яка складається з дерев. Дерево коефіцієнтів хвилькового перетворення означається як множина коефіцієнтів із рі

Адаптивні хвилькові перетворення : Хвилькові пакети.
Слід зауважити, що традиційний підхід використання хвилькових перетворень з фіксованою частотною роздільною здатністю (логарифмічне хвилькове перетворення) є добрий лише в загальному для типового с

Опрацювання мовних сигналів
Багато напрямків мовних технологій (опрацювання мовних сигналів з певною метою: стиск мовних сигналів, cинтез мови, зміна темпу мовлення, розпізнавання або визначення емоційного стану людини за гол

Мовні технології
Виділяють такі напрямки мовних технологій. 1. Стиск (кодування) мови. Високого степеня стиску досягаємо використанням дискретних косинусних перетворень. 2. Синтез мови

Алгоритм динамічного часового вирівнювання для розпізнавання слів з невеликого словника
На фазі навчання як мовні еталони записуємо якнайкоротше вимовлені диктором слова із заданого невеликого словника. Сигнал, який розпізнаємо, та сигнали-еталони параметризуємо – перетворюєм

Розпізнавання злитної мови з великим словником
Сучасні системи для розпізнавання злитної мови з великим словником ґрунтуються на принципах статистичного розпізнавання образів. На першому етапі мовний сигнал перетворюється звуковий преп

Просочування спектральних складових
Вибір кінцевого часового інтервалу тривалістю NT секунд і ортогонального тригонометричного базису на цьому інтервалі обумовлює цікаву особливість спектрального розкладу. 3 континууму можливи

Вікна та їх основні параметри
В гармонійному аналізі вікна використовуються для зменшення небажаних ефектів просочування спектральних складових. Вікна впливають на можливість виявлення, роздільну здатність, динамічний діапазон,

Класичні вікна
Всі наведені вікна представляються як парні (щодо початку координат) і містять непарну кількість точок. Для перетворення вікна в ДПФ-парне вікно достатньо відкинути крайню праву точку і зсунути пос

Гармонійний аналіз
Проаналізуємо вплив властивостей вікна на ефективність виявлення слабої спектральної лінії у присутності інтенсивної близько розташованої лінії. Якщо обидві спектральні лінії потрапляють в біни ДПФ

Висновки
В даному навчальному посібнику описані основні алгоритми опрацювання сигналів та зображень та шляхи їх реалізації. Основна увага приділена системному підходу, який дозволяє розв’язати певну задачу,

Література
  1. Айфигер, Эммануил С., Джервис, Барри У. Цифровая обработка сигналов: практический поход, 2-е изд.: Пер. с англ. – М.: Издательский дом “Вильямс”, 2004. – 992с. 2. Цифров

Рархічність засобів обробки радіолокаційної інформації.
Обробка радіолокаційної інформації (РЛІ) як правило складається з декількох етапів. Первинна обробка РЛІ здійснюється апаратурою радіолокаційної станції (АПОІ РЛС) з видачею інформа

Особливості обробки радіолокаційної інформації. Вибір параметрів радіолокаційної станції, які впливають на характеристики засобів обробки.
  Параметри: - вид сигналу; - потужність сигналу; - тривалість зондувального сигналу /Тс/; - оброблюваний доплерівский діапазон частот /F/ ;

Вимоги до системи
1. Система повинна будуватися на сучасній елементній базі з використанням відповідних міжнародним стандартам конструктивов і інтерфейсів 2. Система повинна мати модульну структуру і будува

Елементна база
Орієнтація на два механічних конструктива і на дві стандартні системні шини приводить до того, що можуть існувати три різних типи уніфікованих модулів: 1. Система на основі ПЕОМ із шинами

Архітектура системи
Пропонується комбінована архітектура на основі поділюваної системної шини і конфігурованих користувачем високопродуктивних прямих з'єднань модулів між собою для рішення задач високопродуктивної обр

Апаратна реалізація мережі
Вузли обчислювальної мережі виконані на процесорах TMS320C40 (TMS320C44), до яких підключена зовнішня оперативна пам'ять ємністю 512-1024 кбайт. У залежності від реалізації процесорного модуля (TІМ

Найпростіша первинна обробка РЛИ на МП мережі
Для відпрацьовування і реалізації на мультипроцесорній мережі найпростішого алгоритму первинної обробки даних було розроблено функціональне програмне забезпечення (ФПЗ), що реалізує алгоритм, зобра

Обмежувач.
·     Алгоритм обчи

Порогові пристрої.
У системі реалізовані порогові пристрої з ковзним порогом. Значення порога обчислювалося по формулі      

Таблиця 1. Часові параметри модулів ФПЗ, отримані в симуляторі.
Програма Цикли Час, мкс Кількість операцій ПК Vmax, (MFLOP) Vвузла, (MFLOP) СРЦ

Таблиця 2. Експериментально виміряні часові параметри модулів ФПЗ.
Програма Цикли Час, мкс Кількість операцій ПК Vmax, (MFLOP) Vвузла, (MFLOP) СРЦ

Призначення ПФОС.
Пристрій формування й обробки сигналів /ПФОС/ входить до складу когерентної далеко-доплерівської радіолокаційної станції, що працює в імпульсному чи квазінеперервному режимі випромінювання і прийом

Принцип побудови і структура ПФОС.
Пристрій формування й обробки сигналів побудовано по модульному принципі з нарощуванням структури і складається з окремих взаємозамінних програмно-апаратних модулів. Кожен програмно-апаратний модул

Технічна реалізація модуля.
Модуль формування й обробки сигналів реалізований на основі пристроїв програмувальної логіки фірм Xіlіnx, Altera і сигнальних процесорів фірми Analog Devіces. Основні технічні характеристики модуля

Модуль кодуючого пристрою .
Кодуючий пристрій призначений для : · забезпечення режимів роботи РЛС і необхідних робочих шкал дальності; · формування модулюючих сигналів , що задають закон амплітудно-фазової м

Режими роботи ПФОС.
ПФОС забезпечує формування й обробку сигналів у двох режимах випромінювання і прийому складних амплітудно-фазоманіпуляційних сигналів: · у квазінеперервному режимі випромінювання й обробки

Квазінеперервний режим випромінювання й обробки.
При квазінеперервному режимі фазоманіпуляційний сигнал з великою базою (В=<256K) випромінюється окремими імпульсами, тривалість і інтервал проходження яких визначається структурою дискретного си

Практичне використання результатів і перспективи розвитку.
В даний час пристрої формування й обробки сигналів (ПФОС) використовуються в розробках, виконаних разом з ведучими НПО і НДІ м. Санкт-Петербурга. Розроблені РЛС успішно пройшли натурні вип

Хотите получать на электронную почту самые свежие новости?
Education Insider Sample
Подпишитесь на Нашу рассылку
Наша политика приватности обеспечивает 100% безопасность и анонимность Ваших E-Mail
Реклама
Соответствующий теме материал
  • Похожее
  • Популярное
  • Облако тегов
  • Здесь
  • Временно
  • Пусто
Теги